Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Modeling Retention Errors of 3D NAND Flash for Optimizing Data Placement

Published: 21 June 2024 Publication History
  • Get Citation Alerts
  • Abstract

    Considering 3D NAND flash has a new property of process variation (PV), which causes different raw bit error rates (RBER) among different layers of the flash block. This article builds a mathematical model for estimating the retention errors of flash cells, by considering the factor of layer-to-layer PV in 3D NAND flash memory, as well as the factors of program/erase (P/E) cycle and retention time of data. Then, it proposes classifying the layers of flash block in 3D NAND flash memory into profitable and unprofitable categories, according to the error correction overhead. After understanding the retention error variation of different layers in 3D NAND flash, we design a mechanism of data placement, which maps the write data onto a suitable layer of flash block, according to the data hotness and the error correction overhead of layers, to boost read performance of 3D NAND flash. The experimental results demonstrate that our proposed retention error estimation model can yield a R2 value of 0.966 on average, verifying the accuracy of the model. Based on the estimated retention error rates of layers, the proposed data placement mechanism can noticeably reduce the read latency by 29.8% on average, compared with state-of-the-art methods against retention errors for 3D NAND flash memory.

    References

    [1]
    Yu Cai, Saugata Ghose, Yixin Luo, Ken Mai, Onur Mutlu, and Erich F. Haratsch. 2017. Vulnerabilities in MLC NAND flash memory programming: Experimental analysis, exploits, and mitigation techniques. In Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture. IEEE, 49–60.
    [2]
    Yu Cai, Gulay Yalcin, Onur Mutlu, Erich F. Haratsch, Adrian Cristal, Osman S. Unsal, and Ken Mai. 2012. Flash correct-and-refresh: Retention-aware error management for increased flash memory lifetime. In Proceedings of the 2012 IEEE 30th International Conference on Computer Design. IEEE, 94–101.
    [3]
    Rui Cao, Jixuan Wu, Wenjing Yang, Yuan Li, and Jiezhi Chen. 2018. Error bit distributions in triple-level cell three-dimensional (3D) NAND flash memory. In Proceedings of the 2018 14th IEEE International Conference on Solid-State and Integrated Circuit Technology. IEEE, 1–3.
    [4]
    Jiezhi Chen. 2018. On the reliability of charge-trap (CT) type three-dimensional (3D) NAND flash memory. In Proceedings of the 2018 14th IEEE International Conference on Solid-State and Circuit Technology. IEEE, 1–3.
    [5]
    Davide Chicco, Matthijs J. Warrens, and Giuseppe Jurman. 2021. The coefficient of determination R-squared is more informative than SMAPE, MAE, MAPE, MSE and RMSE in regression analysis evaluation. PeerJ Computer Science 7 (2021), e623.
    [6]
    Bongsik Choi, Sang Hyun Jang, Jinsu Yoon, Juhee Lee, Minsu Jeon, Yongwoo Lee, Jungmin Han, Jieun Lee, Dong Myong Kim, Dae Hwan Kim, Lim Chan, Park Sungkye, and Choi Sung-Jin. 2016. Comprehensive evaluation of early retention (fast charge loss within a few seconds) characteristics in tube-type 3-D NAND flash memory. In Proceedings of the 2016 IEEE Symposium on VLSI Technology. IEEE, 1–2.
    [7]
    Lanlan Cui, Fei Wu, Xiaojian Liu, Meng Zhang, Renzhi Xiao, and Changsheng Xie. 2021. Improving LDPC decoding performance for 3D TLC NAND flash by LLR optimization scheme for hard and soft decision. ACM Transactions on Design Automation of Electronic Systems 27, 1 (2021), 1–20.
    [8]
    Yejia Di, Liang Shi, Congming Gao, Qiao Li, Chun Jason Xue, and Kaijie Wu. 2018. Minimizing retention induced refresh through exploiting process variation of flash memory. IEEE Transactions on Computers 68, 1 (2018), 83–98.
    [9]
    Yajuan Du, Siyi Huang, Yao Zhou, and Qiao Li. 2023. Towards LDPC read performance of 3D flash memories with layer-induced error characteristics. ACM Transactions on Design Automation of Electronic Systems 28, 3 (2023), 25.
    [10]
    Yajuan Du, Qiao Li, Liang Shi, Deqing Zou, Hai Jin, and Chun Jason Xue. 2017. Reducing LDPC soft sensing latency by lightweight data refresh for flash read performance improvement. In Proceedings of the 54th Annual Design Automation Conference. 1–6.
    [11]
    Yajuan Du, Yao Zhou, Meng Zhang, Wei Liu, and Shengwu Xiong. 2019. Adapting layer RBERs variations of 3D flash memories via multi-granularity progressive LDPC reading. In Proceedings of the 56th Annual Design Automation Conference. 1–6.
    [12]
    Keonsoo Ha, Jaeyong Jeong, and Jihong Kim. 2015. An integrated approach for managing read disturbs in high-density NAND flash memory. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, 7 (2015), 1079–1091.
    [13]
    Yang Hu, Hong Jiang, Dan Feng, Lei Tian, Hao Luo, and Chao Ren. 2012. Exploring and exploiting the multilevel parallelism inside SSDs for improved performance and endurance. IEEE Transactions on Computers 62, 6 (2012), 1141–1155.
    [14]
    Jun Li, Bowen Huang, Zhibing Sha, Zhigang Cai, Jianwei Liao, Balazs Gerofi, and Yutaka Ishikawa. 2020. Mitigating negative impacts of read disturb in SSDs. ACM Transactions on Design Automation of Electronic Systems 26, 1 (2020), 1–24.
    [15]
    Qiao Li, Liang Shi, Yufei Cui, and Chun Jason Xue. 2019. Exploiting asymmetric errors for LDPC decoding optimization on 3D NAND flash memory. IEEE Transactions on Computers 69, 4 (2019), 475–488.
    [16]
    Qiao Li, Liang Shi, Yejia Di, Yajuan Du, Chun J Xue, and HM Edwin. 2017. Exploiting process variation for read performance improvement on LDPC based flash memory storage systems. In ACM Transactions on Design Automation of Electronic Systems 2017 IEEE International Conference on Computer Design. IEEE, 681–684.
    [17]
    Qiao Li, Min Ye, Tei-Wei Kuo, and Chun Jason Xue. 2021. How the common retention acceleration method of 3D NAND flash memory goes wrong?. In Proceedings of the 13th ACM Workshop on Hot Topics in Storage and File Systems. 1–7.
    [18]
    Jianwei Liao, Jun Li, Mingwang Zhao, Zhibing Sha, and Zhigang Cai. 2022. Read refresh scheduling and data reallocation against read disturb in SSDs. ACM Transactions on Embedded Computing Systems 21, 2 (2022), 1–27.
    [19]
    Jianwei Liao, Jiewen Tang, Jun Li, Junhao Luo, Chenqi Xiao, Zhigang Cai, and Lei Chen. 2023. Modeling retention errors on modern 3D-flash products. In Proceedings of the 2023 IEEE International Symposium on Circuits and Systems. IEEE, 1–5.
    [20]
    Yu-Min Lin, Huai-Ting Li, Ming-Han Chung, and An-Yeu Wu. 2015. Byte-reconfigurable LDPC codec design with application to high-performance ECC of NAND flash memory systems. 2015 IEEE Transactions on Circuits and Systems I: Regular Papers 62, 7 (2015), 1794–1804.
    [21]
    Chun-Yi Liu, Yunju Lee, Wonil Choi, Myoungsoo Jung, Mahmut Taylan Kandemir, and Chita Das. 2021. GSSA: A resource allocation scheme customized for 3D NAND SSDs. In Proceedings of the 2021 IEEE International Symposium on High-Performance Computer Architecture. IEEE, 426–439.
    [22]
    Ren-Shuo Liu, Chia-Lin Yang, and Wei Wu. 2012. Optimizing NAND flash-based SSDs via retention relaxation. Target 11, 10 (2012), 00.
    [23]
    Weihua Liu, Fei Wu, Meng Zhang, Yifei Wang, Zhonghai Lu, Xiangfeng Lu, and Changsheng Xie. 2019. Characterizing the reliability and threshold voltage shifting of 3D charge trap NAND flash. In Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition. IEEE, 312–315.
    [24]
    Yixin Luo, Yu Cai, Saugata Ghose, Jongmoo Choi, and Onur Mutlu. 2015. WARM: Improving NAND flash memory lifetime with write-hotness aware retention management. In Proceedings of the 2015 31st Symposium on Mass Storage Systems and Technologies. IEEE, 1–14.
    [25]
    Yixin Luo, Saugata Ghose, Yu Cai, Erich F Haratsch, and Onur Mutlu. 2018. Improving 3D NAND flash memory lifetime by tolerating early retention loss and process variation. Proceedings of the ACM on Measurement and Analysis of Computing Systems 2, 3 (2018), 1–48.
    [26]
    Neal R Mielke, Robert E Frickey, Ivan Kalastirsky, Minyan Quan, Dmitry Ustinov, and Venkatesh J Vasudevan. 2017. Reliability of solid-state drives based on NAND flash memory. Proceedings of the IEEE 105, 9 (2017), 1725–1750.
    [27]
    Dushyanth Narayanan, Austin Donnelly, and Antony Rowstron. 2008. Write off-loading: Practical power management for enterprise storage. ACM Transactions on Storage 4, 3 (2008), 1–23.
    [28]
    Yangyang Pan, Guiqiang Dong, Qi Wu, and Tong Zhang. 2012. Quasi-nonvolatile SSD: Trading flash memory nonvolatility to improve storage system performance for enterprise applications. In Proceedings of the 2012 IEEE International Symposium on High-Performance Comp Architecture. IEEE, 1–10.
    [29]
    Nikolaos Papandreou, Nikolas Ioannou, Thomas Parnell, Roman Pletka, Milos Stanisavljevic, Radu Stoica, Sasa Tomic, and Haralampos Pozidis. 2019. Reliability of 3D NAND flash memory with a focus on read voltage calibration from a system aspect. In Proceedings of the 2019 19th Non-Volatile Memory Technology Symposium. IEEE, 1–4.
    [30]
    Heejin Park, Jaeho Kim, Jongmoo Choi, Donghee Lee, and Sam H. Noh. 2015. Incremental redundancy to reduce data retention errors in flash-based SSDs. In Proceedings of the 2015 31st Symposium on Mass Storage Systems and Technologies. IEEE, 1–13.
    [31]
    Suk Kwang Park and Jaekyun Moon. 2021. Characterization of inter-cell interference in 3D NAND flash memory. 2021 IEEE Transactions on Circuits and Systems I: Regular Papers 68, 3 (2021), 1183–1192.
    [32]
    Qianqian Wang, Fei Liu, Cece Huang, Qianhui Li, and Zongliang Huo. 2021. A small ripple and high-efficiency wordline voltage generator for 3-D NAND flash memories. IEEE Transactions on Very Large Scale Integration Systems 29, 11 (2021), 1903–1911.
    [33]
    Wei Wang, Tao Xie, Antoine Khoueir, and Youngpil Kim. 2015. Reducing MLC flash memory retention errors through programming initial step only. In Proceedings of the 2015 31st Symposium on Mass Storage Systems and Technologies .IEEE, 1–8.
    [34]
    Chin-Hsien Wu, Hao-Wei Zhang, Chia-Wei Liu, Ta-Ching Yu, and Chi-Yen Yang. 2021. A dynamic huffman coding method for reliable TLC NAND flash memory. ACM Transactions on Design Automation of Electronic Systems 26, 5 (2021), 1–25.
    [35]
    Fei Wu, Yue Zhu, Qin Xiong, Zhonghai Lu, You Zhou, Weizhen Kong, and Changsheng Xie. 2018. Characterizing 3D charge trap NAND flash: Observations, analyses and applications. In Proceedings of the 2018 IEEE 36th International Conference on Computer Design. IEEE, 381–388.
    [36]
    Qin Xiong, Fei Wu, Zhonghai Lu, Yue Zhu, You Zhou, Yibing Chu, Changsheng Xie, and Ping Huang. 2018. Characterizing 3D floating gate NAND flash: Observations, analyses, and implications. ACM Transactions on Storage 14, 2 (2018), 1–31.
    [37]
    Sangjin Yoo and Dongkun Shin. 2020. Reinforcement \(\lbrace\) Learning-Based \(\rbrace\) \(\lbrace\) SLC \(\rbrace\) cache technique for enhancing \(\lbrace\) SSD \(\rbrace\) write performance. In Proceedings of the 12th USENIX Workshop on Hot Topics in Storage and File Systems.
    [38]
    David Kuang-Hui Yu and Jen-Wei Hsieh. 2019. A management scheme of multi-level retention-time queues for improving the endurance of flash-memory storage devices. IEEE Transactions on Computers 69, 4 (2019), 549–562.
    [39]
    Meng Zhang, Fei Wu, Qin Yu, Weihua Liu, Yifan Wang, and Changsheng Xie. 2020. Exploiting error characteristic to optimize read voltage for 3-D NAND flash memory. IEEE Transactions on Electron Devices 67, 12 (2020), 5490–5496.

    Index Terms

    1. Modeling Retention Errors of 3D NAND Flash for Optimizing Data Placement

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 29, Issue 4
      July 2024
      243 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/3613660
      Issue’s Table of Contents

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 21 June 2024
      Online AM: 16 April 2024
      Accepted: 08 April 2024
      Revised: 18 February 2024
      Received: 28 September 2023
      Published in TODAES Volume 29, Issue 4

      Check for updates

      Author Tags

      1. Solid-state drivers
      2. 3D flash memories
      3. ECC
      4. reliability
      5. modeling
      6. layer RBER variation

      Qualifiers

      • Research-article

      Funding Sources

      • National Natural Science Foundation of China
      • Natural Science Foundation Project of CQ CSTC

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • 0
        Total Citations
      • 172
        Total Downloads
      • Downloads (Last 12 months)172
      • Downloads (Last 6 weeks)80

      Other Metrics

      Citations

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Full Text

      View this article in Full Text.

      Full Text

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media