Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Library-Based Placement and Routing in FPGAs with Support of Partial Reconfiguration

Published: 18 May 2016 Publication History

Abstract

While traditional Field-Programmable Gate Array design flow usually employs fine-grained tile-based placement, modular placement is increasingly required to speed up the large-scale placement and save the synthesis time. Moreover, the commonly used modules can be pre-synthesized and stored in the library for design reuse to significantly save the design, verification time, and development cost. Previous work mainly focuses on modular floorplanning without module placement information. In this article, we propose a library-based placement and routing flow that best utilizes the pre-placed and routed modules from the library to significantly save the execution time while achieving the minimal area-delay product. The flow supports the static and reconfigurable modules at the same time. The modular information is represented in the B*-Tree structure, and the B*-Tree operations are amended together with Simulated Annealing to enable a fast search of the placement space. Different width-height ratios of the modules are exploited to achieve area-delay product optimization. Partial reconfiguration-aware routing using pin-to-wire abutment is proposed to connect the modules after placement. Our placer can reduce the compilation time by 65% on average with 17% area and 8.2% delay overhead compared with the fine-grained results of Versatile Place and Route through the reuse of module information in the library for the base architecture. For other architectures, the area increase ranges from 8.32% to 25.79%, the delay varies from − 13.66% to 19.79%, and the runtime improves by 43.31% to 77.2%.

References

[1]
Altera. 2010. Increasing Design Functionality with Partial and Dynamic Reconfiguration in 28-nm FPGAs. Retrieved from http://www.altera.com.
[2]
S. Areibi, G. Grewal, D. Banerji, and P. Du. 2007. Hierarchical FPGA placement. Can. J. Electric. Comput. Eng. 32, 1 (Winter 2007), 53--64.
[3]
P. Athanas, et al. 2007. Wires on demand: Run-time communication synthesis for reconfigurable computing. In FPL, 2007. 513--516.
[4]
Pritha Banerjee, et al. 2011. Floorplanning for partially reconfigurable FPGAs. TCAD 30, 1 (2011).
[5]
P. Banerjee, S. Sur-Kolay, and A. Bishnu. 2009. Fast unified floorplan topology generation and sizing on heterogeneous FPGAs. TCAD 28, 5 (May 2009), 651--661.
[6]
Kiarash Bazargan, Ryan Kastner, and Majid Sarrafzadeh. 2000. Fast template placement for reconfigurable computing systems. IEEE Des. Test Comput. 17, 1 (2000), 68--83.
[7]
Christian Beckhoff et al. 2013. Automatic floorplanning and interface synthesis of island style reconfigurable systems with GOAHEAD. In Architecture of Computing Systems (ARCS). Springer, Berlin, 303--316.
[8]
Jeffrey M. Carver, Richard Neil Pittman, and Alessandro Forin. 2009. Automatic bus macro placement for partially reconfigurable FPGA designs. In FPGA. 269--272.
[9]
R. Castro-lpez, F. V. Fernndez, O. Guerra-vinuesa, and Á. Rodrguez-vzquez. 2006. A reuse-based design framework for analog ICs. In Reuse-Based Methodologies and Tools in the Design of Analog and Mixed-Signal Integrated Circuits. Springer, The Netherlands, 27--62.
[10]
T. C. Chen and Y. W. Chang. 2006. Modern floorplanning based on B*-tree and fast simulated annealing. TCAD 25, 4 (2006), 637--650.
[11]
Yu-Chen Chen, Sheng-Yen Chen, and Yao-Wen Chang. 2014. Efficient and effective packing and analytical placement for large-scale heterogeneous FPGAs. In ICCAD. 647--654.
[12]
Lei Cheng and Martin D. F. Wong. 2006. Floorplan design for multimillion gate FPGAs. TCAD 25, 12 (2006).
[13]
C. Claus, et al. 2007. An XDL-based busmacro generator for customizable communication interfaces for dynamically and partially reconfigurable systems. In Works on Reconfigurable Computing Education.
[14]
Jason Cong, Vivek Sarkar, Glenn Reinman, and Alex Bui. 2011. Customizable domain-specific computing. IEEE Des. Test Comput. 28, 2 (2011), 6--15.
[15]
D. D. Gajski. 1999. IP-based design methodology. In Design Automation Conference, 1999. 43.
[16]
M. Gort and J. H. Anderson. 2012. Analytical placement for heterogeneous FPGAs. In FPL, 2012. 143--150.
[17]
Marcel Gort and Jason Anderson. 2014. Design re-use for compile time reduction in FPGA high-level synthesis flows. In FPT. 4--11.
[18]
Ruining He, et al. 2012. PDPR: Fine-grained placement for dynamic partially reconfigurable FPGAs. In Reconfigurable Computing: Architectures, Tools and Applications. Springer, Berlin, 350--356.
[19]
A. Hekmatpour, K. Goodnow, and H. Shah. 2005. Standards-compliant IP-based ASIC and SoC design. In Proceedings of the IEEE International SOC Conference, 2005. 322--323.
[20]
Bo Hu. 2006. Timing-driven placement for heterogeneous field programmable gate array. In ICCAD, 2006. 383--388.
[21]
E. Hung, S. J. E. Wilton, Haile Yu, T. C. P. Chau, and P. H. W. Leong. 2009. A detailed delay path model for FPGAs. In FPT 2009. 96--103.
[22]
P. Jamieson, F. Gharibian, and L. Shannon. 2013. Supergenes in a genetic algorithm for heterogeneous FPGA placement. In 2013 IEEE Congress on Evolutionary Computation (CEC). 253--260.
[23]
Andrew A. Kennings and Igor L. Markov. 2000. Analytical minimization of half-perimeter wirelength. In ASPDAC. 179--184.
[24]
D. Koch, C. Beckhoff, and J. Teich. 2008. ReCoBus-builder - a novel tool and technique to build statically and dynamically reconfigurable systems for FPGAS. In FPL, 2008. 119--124.
[25]
Dirk Koch, Christian Beckhoff, and Jim Torresen. 2010. Zero logic overhead integration of partially reconfigurable modules. In SBCCI (SBCCI’10). 103--108.
[26]
C. Lavin, M. Padilla, J. Lamprecht, P. Lundrigan, B. Nelson, and B. Hutchings. 2011. HMFlow: Accelerating FPGA compilation with hard macros for rapid prototyping. In FCCM, 2011. 117--124.
[27]
Nan Liu, Song Chen, and T. Yoshimura. 2011. Floorplanning for high utilization of heterogeneous FPGAs. In ISQED, 2011. 1--6.
[28]
T. S. T. Mak, P. Sedcole, P. Y. K. Cheung, and W. Luk. 2007. Average interconnection delay estimation for on-FPGA communication links. Electron. Lett. 43, 17 (August 2007), 918--920.
[29]
Minkovich K. 2007. MCNC benchmarks. (2007). http://cadlab.cs.ucla.edu/∼kirill/.
[30]
Alessio Montone, Marco D Santambrogio, Donatella Sciuto, and Seda Ogrenci Memik. 2010. Placement and floorplanning in dynamically reconfigurable FPGAs. TRETS 3, 4 (2010), 24.
[31]
A. Nayak, M. Haldar, A. Choudhary, and P. Banerjee. 2002. Accurate area and delay estimators for FPGAs. In DATE, 2002. 862--869.
[32]
Jonathan Rose, et al. 2012. The VTR project: Architecture and CAD for FPGAs from verilog to routing. In FPGA. 77--86.
[33]
M. Samaranayake, H. Ji, and J. Ainscough. 2009. Module placement based on hierarchical force directed approach. In 2009 3rd International Conference on Signals, Circuits and Systems (SCS). 1--6.
[34]
Yaska Sankar and Jonathan Rose. 1999. Trading quality for compile time: Ultra-fast placement for FPGAs. In FPGA (FPGA’99). ACM, New York, NY, 157--166.
[35]
Navaratnasothie Selvakkumaran, et al. 2004. Multi-resource aware partitioning algorithms for fpgas with heterogeneous resources. In FPGA (FPGA’04). 253--253.
[36]
N. Shah and J. Rose. 2012. On the difficulty of pin-to-wire routing in FPGAs. In FPL, 2012. 83--90.
[37]
Love Singhal and Elaheh Bozorgzadeh. 2006. Multi-layer floorplanning on a sequence of reconfigurable designs. In FPL. 1--8.
[38]
V. Sklyarov, I. Skliarova, P. Almeida, and M. Almeida. 2003. Design tools and reusable libraries for FPGA-based digital circuits. In Proceedings of the Euromicro Symposium on Digital System Design, 2003. 255--263.
[39]
Greg Stitt, Frank Vahid, and Shawn Nematbakhsh. 2004. Energy savings and speedups from partitioning critical software loops to hardware in embedded systems. TECS 3, 1 (2004), 218--232.
[40]
Nicolas Telle, Wayne Luk, and Ray C. C. Cheung. 2004. Customising hardware designs for elliptic curve cryptography. In Computer Systems: Architectures, Modeling, and Simulation. Springer, Berlin, 274--283.
[41]
Vereen, L. 2004. Soft FPGA Cores Attract Embedded Developers. Retrieved from http://www.embedded.com//showArticle.jhtml?articleID=19200183.
[42]
K. Vipin and S. A. Fahmy. 2011. Efficient region allocation for adaptive partial reconfiguration. In FPT. 1--6.
[43]
Ting-Chi Wang and D. F. Wong. 1991. An optimal algorithm for floorplan area optimization. In DAC. 180--186.
[44]
Xiaojun Wang and Miriam Leeser. September 2010. VFloat: A variable precision fixed- and floating-point library for reconfigurable hardware. TRETS 3, 3, Article 16 (September 2010), 1--34.
[45]
Xilinx. 2011a. Early Access PR User Guide. Retrieved from http://www.xilinx.com.
[46]
Xilinx. 2011b. Xilinx Partial Reconfiguration User Guide. Retrieved from http://www.xilinx.com/support/documentation/sw_manuals/xilinx13_2/ug702.pdf.
[47]
Xilinx. 2012. Partial Reconfiguration of Xilinx FPGAs Using ISE Design Suite. Retrieved from http://www.xilinx.com.
[48]
Liming Xiu. 2007. VLSI circuit design methodology demystified: A conceptual taxonomy. IEEE Press, 200.
[49]
Chang Xu, Wentai Zhang, and Guojie Luo. 2014. Analyzing the impact of heterogeneous blocks on FPGA placement quality. In FPT, 2014. 36--43.
[50]
Shaon Yousuf and Ann Gordon-Ross. 2010. DAPR: Design automation for partially reconfigurable FPGAs. In Engineering of Reconfigurable Systems and Algorithms (ERSA). 97--103.
[51]
Jun Yuan, Sheqin Dong, Xianlong Hong, and Yuliang Wu. 2005. LFF algorithm for heterogeneous FPGA floorplanning. In ASP-DAC, 2005, Vol. 2. 1123--1126.
[52]
N.-E. Zergainoh, K. Popovici, A. Jerraya, and P. Urard. 2005. IP-block-based design environment for high-throughput VLSI dedicated digital signal processing systems. In ASP-DAC, 2005, Vol. 1. 612--618.

Cited By

View all
  • (2020)Automatic and Simultaneous Floorplanning and Placement in Field-Programmable Gate Arrays With Dynamic Partial Reconfiguration Based on Genetic AlgorithmCanadian Journal of Electrical and Computer Engineering10.1109/CJECE.2019.296214743:4(224-234)Online publication date: Dec-2021
  • (2017)Dynamic module partitioning for library based placement on heterogeneous FPGAs2017 IEEE 23rd International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)10.1109/RTCSA.2017.8046336(1-6)Online publication date: Aug-2017
  • (2017)Dynamic Module Partitioning for Library Based Placement on Heterogeneous FPGAs2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM.2017.61(194-194)Online publication date: May-2017

Index Terms

  1. Library-Based Placement and Routing in FPGAs with Support of Partial Reconfiguration

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 4
        September 2016
        423 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/2939671
        • Editor:
        • Naehyuck Chang
        Issue’s Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 18 May 2016
        Accepted: 01 March 2016
        Revised: 01 November 2015
        Received: 01 June 2015
        Published in TODAES Volume 21, Issue 4

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. B*-tree
        2. FPGA
        3. partial reconfiguration
        4. placement
        5. routing

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Funding Sources

        • Hong Kong SAR
        • MoE AcRF Tier 2

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)10
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 28 Dec 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2020)Automatic and Simultaneous Floorplanning and Placement in Field-Programmable Gate Arrays With Dynamic Partial Reconfiguration Based on Genetic AlgorithmCanadian Journal of Electrical and Computer Engineering10.1109/CJECE.2019.296214743:4(224-234)Online publication date: Dec-2021
        • (2017)Dynamic module partitioning for library based placement on heterogeneous FPGAs2017 IEEE 23rd International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)10.1109/RTCSA.2017.8046336(1-6)Online publication date: Aug-2017
        • (2017)Dynamic Module Partitioning for Library Based Placement on Heterogeneous FPGAs2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM.2017.61(194-194)Online publication date: May-2017

        View Options

        Login options

        Full Access

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media