Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

TAAL: Tampering Attack on Any Key-based Logic Locked Circuits

Published: 09 March 2021 Publication History

Abstract

Due to the globalization of semiconductor manufacturing and test processes, the system-on-a-chip (SoC) designers no longer design the complete SoC and manufacture chips on their own. This outsourcing of the design and manufacturing of Integrated Circuits (ICs) has resulted in several threats, such as overproduction of ICs, sale of out-of-specification/rejected ICs, and piracy of Intellectual Properties (IPs). Logic locking has emerged as a promising defense strategy against these threats. However, various attacks about the extraction of secret keys have undermined the security of logic locking techniques. Over the years, researchers have proposed different techniques to prevent existing attacks. In this article, we propose a novel attack that can break any logic locking techniques that rely on the stored secret key. This proposed TAAL attack is based on implanting a hardware Trojan in the netlist, which leaks the secret key to an adversary once activated. As an untrusted foundry can extract the netlist of a design from the layout/mask information, it is feasible to implement such a hardware Trojan. All three proposed types of TAAL attacks can be used for extracting secret keys. We have introduced the models for both the combinational and sequential hardware Trojans that evade manufacturing tests. An adversary only needs to choose one hardware Trojan out of a large set of all possible Trojans to launch the TAAL attack.

References

[1]
Sally Adee. 2008. The hunt for the kill switch. IEEE Spect. 45, 5 (2008), 34--39.
[2]
Age Yeh. 2012. Trends in the global IC design service market. DIGITIMES Research. https://www.digitimes.com/news/a20120313RS400.htmlchid=2.
[3]
Dakshi Agrawal, Selcuk Baktir, Deniz Karakoyunlu, Pankaj Rohatgi, and Berk Sunar. 2007. Trojan detection using IC fingerprinting. In Proceedings of the IEEE Symposium on Security and Privacy (SP’07). 296--310.
[4]
Yousra Alkabani and Farinaz Koushanfar. 2007. Active hardware metering for intellectual property protection and security. In Proceedings of the USENIX Security Symposium. 291--306.
[5]
Yousra Alkabani, Farinaz Koushanfar, and Miodrag Potkonjak. 2007. Remote activation of ICs for piracy prevention and digital right management. In Proceedings of the IEEE/ACM International Conference on Computer-aided Design. 674--677.
[6]
Mainak Banga and Michael S. Hsiao. 2008. A region based approach for the identification of hardware Trojans. In Proceedings of the IEEE International Workshop on Hardware-oriented Security and Trust. 40--47.
[7]
Mainak Banga and Michael S. Hsiao. 2009. A novel sustained vector technique for the detection of hardware Trojans. In Proceedings of the International Conference on VLSI Design. 327--332.
[8]
Mainak Banga and Michael S. Hsiao. 2011. Odette: A non-scan design-for-test methodology for Trojan detection in ICs. In Proceedings of the IEEE International Symposium on Hardware-oriented Security and Trust. 18--23.
[9]
Alex Baumgarten, Akhilesh Tyagi, and Joseph Zambreno. 2010. Preventing IC piracy using reconfigurable logic barriers. IEEE Des. Test Comput. 27, 1 (2010), 66--75.
[10]
Swarup Bhunia, Michael S. Hsiao, Mainak Banga, and Seetharam Narasimhan. 2014. Hardware Trojan attacks: Threat analysis and countermeasures. Proc. IEEE 102, 8 (2014), 1229--1247.
[11]
Swarup Bhunia and Mark Tehranipoor. 2018. Hardware Security: A Hands-on Learning Approach. Morgan Kaufmann.
[12]
David Bryan. 1985. The ISCAS’85 benchmark circuits and netlist format. North Carolina State University 25 (1985).
[13]
Michael Bushnell and Vishwani Agrawal. 2004. Essentials of Electronic Testing for Digital, Memory and Mixed-signal VLSI Circuits. Vol. 17. Springer Science & Business Media.
[14]
Encarnacin Castillo, Uwe Meyer-Baese, Antonio García, Luis Parrilla, and Antonio Lloris. 2007. IPP@ HDL: Efficient intellectual property protection scheme for IP cores. IEEE Trans. Very Large Scale Integ. Syst. 15, 5 (2007), 578--591.
[15]
Rajat Subhra Chakraborty and Swarup Bhunia. 2008. Hardware protection and authentication through netlist level obfuscation. In Proceedings of the IEEE/ACM International Conference on Computer-aided Design. 674--677.
[16]
Rajat Subhra Chakraborty and Swarup Bhunia. 2009. Security against hardware Trojan through a novel application of design obfuscation. In Proceedings of the International Conference Computer-aided Design. 113--116.
[17]
Rajat Subhra Chakraborty, Francis G. Wolff, Somnath Paul, Christos A. Papachristou, and Swarup Bhunia. 2009. MERO: A statistical approach for hardware Trojan detection. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems (CHES’09). 396--410.
[18]
Doohwang Chang, Bertan Bakkaloglu, and Sule Ozev. 2015. Enabling unauthorized RF transmission below noise floor with no detectable impact on primary communication performance. In Proceedings of the VLSI Test Symposium (VTS’15). 1--4.
[19]
Edoardo Charbon. 1998. Hierarchical watermarking in IC design. In Proceedings of the the Custom Integrated Circuits Conference. 295--298.
[20]
Ronald P. Cocchi, James P. Baukus, Lap Wai Chow, and Bryan J. Wang. 2014. Circuit camouflage integration for hardware IP protection. In Proceedings of the Annual Design Automation Conference. 1--5.
[21]
Gustavo K. Contreras, Md Tauhidur Rahman, and Mohammad Tehranipoor. 2013. Secure split-test for preventing IC piracy by untrusted foundry and assembly. In Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS’13). 196--203.
[22]
Scott Davidson. 1999. ITC’99 benchmark circuits-preliminary results. In Proceedings of the International Test Conference. IEEE Computer Society, 1125--1125.
[23]
DC Ultra: Concurrent Timing, Area, Power, and Test Optimization. 2019. Retrieved from https://www.synopsys.com/implementation-and-signoff/rtl-synthesis-test/dc-ultra.html.
[24]
Ujjwal Guin, Qihang Shi, Domenic Forte, and Mark M. Tehranipoor. 2016. FORTIS: A comprehensive solution for establishing forward trust for protecting IPs and ICs. ACM Trans. Des. Automat. Electron. Syst. 21, 4 (2016), 63.
[25]
U. Guin, Ziqi Zhou, and A. Singh. 2017. A novel design-for-security (DFS) architecture to prevent unauthorized IC overproduction. In Proceedings of the IEEE VLSI Test Symposium (VTS’17). 1--6.
[26]
Ujjwal Guin, Ziqi Zhou, and Adit Singh. 2018. Robust design-for-security architecture for enabling trust in IC manufacturing and test. Trans. Very Large Scale Integ. Syst. 26, 5 (2018), 818--830.
[27]
Xiaolong Guo, Huifeng Zhu, Yier Jin, and Xuan Zhang. 2019. When capacitors attack: Formal method driven design and detection of charge-domain Trojans. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’19).
[28]
Syed Kamran Haider, Chenglu Jin, Masab Ahmad, Devu Shila, Omer Khan, and Marten van Dijk. 2017. Advancing the state-of-the-art in hardware Trojans detection. IEEE Trans. Depend. Sec. Comput. 16, 1 (2017), 18--32.
[29]
Jiaji He, Yiqiang Zhao, Xiaolong Guo, and Yier Jin. 2017. Hardware Trojan detection through chip-free electromagnetic side-channel statistical analysis. IEEE Trans. Very Large Scale Integ. Syst. 25, 10 (2017), 2939--2948.
[30]
Yumin Hou, Hu He, Kaveh Shamsi, Yier Jin, Dong Wu, and Huaqiang Wu. 2018. R2d2: Runtime reassurance and detection of A2 Trojan. In Proceedings of the International Symposium on Hardware Oriented Security and Trust (HOST’18). 195--200.
[31]
Jiawei Huang and John Lach. 2008. IC activation and user authentication for security-sensitive systems. In Proceedings of the IEEE International Workshop on Hardware-oriented Security and Trust. 76--80.
[32]
Intelligence Advanced Research Projects Activity. 2011. Trusted Integrated Chips (TIC) Program. https://www.iarpa.gov/index.php/research-programs/tic.
[33]
Richard Wayne Jarvis and Michael G. McIntyre. 2007. Split manufacturing method for advanced semiconductor circuits. U.S. Patent 7,195,931.
[34]
Y. Jin and Y. Makris. 2008. Hardware Trojan detection using path delay fingerprint. In Proceedings of the International Symposium on Hardware Oriented Security and Trust (HOST’08). 51--57.
[35]
Yier Jin and Yiorgos Makris. 2010. Hardware Trojans in wireless cryptographic ICs. IEEE Des. Test Comput. 27, 1 (2010), 26--35.
[36]
Andrew B. Kahng, John Lach, William H. Mangione-Smith, Stefanus Mantik, Igor L. Markov, Miodrag Potkonjak, Paul Tucker, Huijuan Wang, and Gregory Wolfe. 2001. Constraint-based watermarking techniques for design IP protection. IEEE Trans. Comput.-aided Des. Integ. Circ. Syst. 20, 10 (2001), 1236--1252.
[37]
Ramesh Karri, Jeyavijayan Rajendran, Kurt Rosenfeld, and Mohammad Tehranipoor. 2010. Trustworthy hardware: Identifying and classifying hardware Trojans. Computer 43, 10 (2010), 39--46.
[38]
Soroush Khaleghi, Kai Da Zhao, and Wenjing Rao. 2015. IC piracy prevention via design withholding and entanglement. In Proceedings of the Asia and South Pacific Design Automation Conference. 821--826.
[39]
Christian Kison, Omar Mohamed Awad, Marc Fyrbiak, and Christof Paar. 2019. Security implications of intentional capacitive crosstalk. Trans. Inf. Forens. Sec. 14, 12 (2019), 3246--3258.
[40]
Farinaz Koushanfar and Gang Qu. 2001. Hardware metering. In Proceedings of the Design Automation Conference. 490--493.
[41]
Yu-Wei Lee and Nur A. Touba. 2015. Improving logic obfuscation via logic cone analysis. In Proceedings of the Latin-American Test Symposium (LATS). 1--6.
[42]
Nicole Lesperance, Shrikant Kulkarni, and Kwang-Ting Cheng. 2015. Hardware Trojan detection using exhaustive testing of k-bit subspaces. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC’15). 755--760.
[43]
Jie Li and John Lach. 2008. At-speed delay characterization for IC authentication and Trojan horse detection. In Proceedings of the IEEE International Workshop on Hardware-oriented Security and Trust. 8--14.
[44]
Bao Liu and Brandon Wang. 2014. Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’14). 1--6.
[45]
Yu Liu, Ke Huang, and Yiorgos Makris. 2014. Hardware Trojan detection through golden chip-free statistical side-channel fingerprinting. In Proceedings of the Design Automation Conference.
[46]
Yu Liu, Georgios Volanis, Ke Huang, and Yiorgos Makris. 2015. Concurrent hardware Trojan detection in wireless cryptographic ICs. In Proceedings of the International Test Conference (ITC’15). 1--8.
[47]
Jonathon Magaña, Daohang Shi, Jackson Melchert, and Azadeh Davoodi. 2017. Are proximity attacks a threat to the security of split manufacturing of integrated circuits? Trans. Very Large Scale Integ. Syst. 25, 12 (2017), 3406--3419.
[48]
Karthikeyan Nagarajan, Mohammad Nasim Imtiaz Khan, and Swaroop Ghosh. 2019. ENTT: A family of emerging NVM-based Trojan triggers. In Proceedings of the International Symposium on Hardware-oriented Security and Trust (HOST’19). 51--60.
[49]
Xuan Thuy Ngo, Shivam Bhasin, Jean-Luc Danger, Sylvain Guilley, and Zakaria Najm. 2015. Linear complementary dual code improvement to strengthen encoded circuit against hardware Trojan horses. In Proceedings of the IEEE International Symposium on Hardware-oriented Security and Trust. 82--87.
[50]
Synopsys 32/28 nm Generic Library for Teaching IC Design. Retrieved from https://www.synopsys.com/community/universityprogram/teaching-resources.html.
[51]
Abdullah Nazma Nowroz, Kangqiao Hu, Farinaz Koushanfar, and Sherief Reda. 2014. Novel techniques for high-sensitivity hardware Trojan detection using thermal and power maps. Trans. Comput.-aided Des. Integ. Circ. Syst. 33, 12 (2014), 1792--1805.
[52]
Stephen M. Plaza and Igor L. Markov. 2015. Solving the third-shift problem in IC piracy with test-aware logic locking. Trans. Comput.-aided Des. Integ. Circ. Syst. 34, 6 (2015), 961--971.
[53]
Gang Qu and Miodrag Potkonjak. 2007. Intellectual Property Protection in VLSI Designs: Theory and Practice. Springer Science & Business Media.
[54]
Reza Rad, Jim Plusquellic, and Mohammad Tehranipoor. 2008. Sensitivity analysis to hardware Trojans using power supply transient signals. In Proceedings of the IEEE International Workshop on Hardware-oriented Security and Trust. 3--7.
[55]
M. Tanjidur Rahman, Shahin Tajik, M. Sazadur Rahman, Mark Tehranipoor, and Navid Asadizanjani. 2020. The key is left under the mat: On the inappropriate security assumption of logic locking schemes. In International Symposium on Hardware Oriented Security and Trust (HOST'20). 262--272.
[56]
Md Tauhidur Rahman, Domenic Forte, Quihang Shi, Gustavo K. Contreras, and Mohammad Tehranipoor. 2014. CSST: An efficient secure split-test for preventing IC piracy. In Proceedings of the IEEE 23rd North Atlantic Test Workshop. 43--47.
[57]
Jeyavijayan Rajendran, Vinayaka Jyothi, Ozgur Sinanoglu, and Ramesh Karri. 2011. Design and analysis of ring oscillator based design-for-trust technique. In Proceedings of the VLSI Test Symposium. 105--110.
[58]
Jeyavijayan Rajendran, Youngok Pino, Ozgur Sinanoglu, and Ramesh Karri. 2012. Security analysis of logic obfuscation. In Proceedings of the Design Automation Conference. 83--89.
[59]
Jeyavijayan Rajendran, Michael Sam, Ozgur Sinanoglu, and Ramesh Karri. 2013. Security analysis of integrated circuit camouflaging. In Proceedings of the ACM SIGSAC Conference on Computer & Communications Security. 709--720.
[60]
Jeyavijayan Rajendran, Huan Zhang, Chi Zhang, Garrett S. Rose, Youngok Pino, Ozgur Sinanoglu, and Ramesh Karri. 2015. Fault analysis-based logic encryption. IEEE Trans. Comput. 64, 2 (2015), 410--424.
[61]
J. J. V. Rajendran, Ozgur Sinanoglu, and Ramesh Karri. 2013. Is split manufacturing secure? In Proceedings of the Conference on Design, Automation and Test in Europe (DATE’13). 1259--1264.
[62]
Abishek Ramdas, Samah Mohamed Saeed, and Ozgur Sinanoglu. 2014. Slack removal for enhanced reliability and trust. In Proceedings of the International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS’14). 1--4.
[63]
Jarrod A. Roy, Farinaz Koushanfar, and Igor L. Markov. 2008. EPIC: Ending piracy of integrated circuits. In Proceedings of the Conference on Design, Automation and Test in Europe. 1069--1074.
[64]
Hassan Salmani, Mohammad Tehranipoor, and Jim Plusquellic. 2011. A novel technique for improving hardware Trojan detection and reducing Trojan activation time. IEEE Trans. Very Large Scale Integ. Syst. 20, 1 (2011), 112--125.
[65]
Anirban Sengupta and Saraju P. Mohanty. 2018. Functional obfuscation of DSP cores using robust logic locking and encryption. In Proceedings of the International Symposium on VLSI (ISVLSI’18). 709--713.
[66]
Kaveh Shamsi, Meng Li, Travis Meade, Zheng Zhao, David Z. Pan, and Yier Jin. 2017. AppSAT: Approximately deobfuscating integrated circuits. In Proceedings of the International Symposium on Hardware-oriented Security and Trust (HOST’17). 95--100.
[67]
Haoting Shen, Navid Asadizanjani, Mark Tehranipoor, and Domenic Forte. 2018. Nanopyramid: An optical scrambler against backside probing attacks. In Proceedings of the International Symposium for Testing and Failure Analysis (ISTFA’18). 280.
[68]
Yuanqi Shen and Hai Zhou. 2017. Double dip: Re-evaluating security of logic encryption algorithms. In Proceedings of the Great Lakes Symposium on VLSI. 179--184.
[69]
Qihang Shi, Kan Xiao, Domenic Forte, and Mark M. Tehranipoor. 2017. Obfuscated built-in self-authentication. In Hardware Protection through Obfuscation. Springer, 263--289.
[70]
O. Sinanoglu, N. Karimi, J. Rajendran, R. Karri, Y. Jin, K. Huang, and Y. Makris. 2013. Reconciling the IC test and security dichotomy. In Proceedings of the IEEE European Test Symposium.
[71]
Deepak Sirone and Pramod Subramanyan. 2018. Functional analysis attacks on logic locking. arXiv preprint arXiv:1811.12088 (2018).
[72]
Cynthia Sturton, Matthew Hicks, David Wagner, and Samuel T. King. 2011. Defeating UCI: Building stealthy and malicious hardware. In Proceedings of the IEEE Symposium on Security and Privacy. IEEE, 64--77.
[73]
Kiruba Sankaran Subramani, Angelos Antonopoulos, Ahmed Attia Abotabl, Aria Nosratinia, and Yiorgos Makris. 2017. ACE: Adaptive channel estimation for detecting analog/RF Trojans in WLAN transceivers. In Proceedings of the IEEE/ACM International Conference on Computer-aided Design (ICCAD’17). IEEE, 722--727.
[74]
Pramod Subramanyan, Sayak Ray, and Sharad Malik. 2015. Evaluating the security of logic encryption algorithms. In Proceedings of the IEEE International Symposium on Hardware-oriented Security and Trust (HOST’15). 137--143.
[75]
Synopsys Inc. 2017. TetraMAX ATPG: Automatic Test Pattern Generation.
[76]
Mohammad Tehranipoor and Farinaz Koushanfar. 2010. A survey of hardware Trojan taxonomy and detection. IEEE Des. Test Comput. 27, 1 (2010).
[77]
Mohammad Tehranipoor, Hassan Salmani, Xuehui Zhang, Michel Wang, Ramesh Karri, Jeyavijayan Rajendran, and Kurt Rosenfeld. 2010. Trustworthy hardware: Trojan detection and design-for-trust challenges. Computer 44, 7 (2010), 66--74.
[78]
Mohammad Tehranipoor and Cliff Wang. 2011. Introduction to Hardware Security and Trust. Springer Science & Business Media.
[79]
Mark Mohammad Tehranipoor, Ujjwal Guin, and Domenic Forte. 2015. Counterfeit integrated circuits. In Counterfeit Integrated Circuits. Springer, 15--36.
[80]
Randy Torrance and Dick James. 2009. The state-of-the-art in IC reverse engineering. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems. 363--381.
[81]
Kaushik Vaidyanathan, Bishnu P. Das, and Larry Pileggi. 2014. Detecting reliability attacks during split fabrication using test-only BEOL stack. In Proceedings of the Design Automation Conference. 1--6.
[82]
Kaushik Vaidyanathan, Renzhi Liu, Ekin Sumbul, Qiuling Zhu, Franz Franchetti, and Larry Pileggi. 2014. Efficient and secure intellectual property (IP) design with split fabrication. In Proceedings of the IEEE International Symposium on Hardware-oriented Security and Trust (HOST’14). 13--18.
[83]
Nidish Vashistha, Hangwei Lu, Qihang Shi, M. Tanjidur Rahman, Haoting Shen, Damon L. Woodard, Navid Asadizanjani, and Mark Tehranipoor. 2018. Trojan scanner: Detecting hardware Trojans with rapid SEM imaging combined with image processing and machine learning. In Proceedings of the International Symposium for Testing and Failure Analysis. 256.
[84]
Adam Waksman, Matthew Suozzo, and Simha Sethumadhavan. 2013. FANCI: Identification of stealthy malicious logic using Boolean functional analysis. In Proceedings of the ACM SIGSAC Conference on Computer & Communications Security. 697--708.
[85]
Huanyu Wang, Domenic Forte, Mark M. Tehranipoor, and Qihang Shi. 2017. Probing attacks on integrated circuits: Challenges and research opportunities. IEEE Des. Test 34, 5 (2017), 63--71.
[86]
Huanyu Wang, Qihang Shi, Domenic Forte, and Mark M. Tehranipoor. 2019. Probing assessment framework and evaluation of antiprobing solutions. Trans. Very Large Scale Integ. Syst. 27, 6 (2019), 1239--1252.
[87]
Xinmu Wang, Seetharam Narasimhan, Aswin Krishna, Tatini Mal-Sarkar, and Swarup Bhunia. 2011. Sequential hardware Trojan: Side-channel aware design and placement. In Proceedings of the International Conference on Computer Design (ICCD’11). 297--300.
[88]
Yujie Wang, Tri Cao, Jiang Hu, and Jeyavijayan Rajendran. 2017. Front-end-of-line attacks in split manufacturing. In Proceedings of the IEEE/ACM International Conference on Computer-aided Design (ICCAD’17). 1--8.
[89]
Yujie Wang, Pu Chen, Jiang Hu, and Jeyavijayan J. V. Rajendran. 2016. The cat and mouse in split manufacturing. In Proceedings of the Design Automation Conference. 1--6.
[90]
S. Wei, S. Meguerdichian, and M. Potkonjak. 2011. Malicious circuitry detection using thermal conditioning. Trans. Inf. Forens. Sec. 6, 3 (2011), 1136--1145.
[91]
Kan Xiao and Mohammed Tehranipoor. 2013. BISA: Built-in self-authentication for preventing hardware Trojan insertion. In Proceedings of the IEEE International Symposium on Hardware-oriented Security and Trust. 45--50.
[92]
Yang Xie and Ankur Srivastava. 2016. Mitigating SAT attack on logic locking. In Proceedings of the International Conference on Cryptographic Hardware and Embedded Systems. 127--146.
[93]
Yang Xie and Ankur Srivastava. 2019. Anti-SAT: Mitigating SAST attack on logic locking. IEEE Trans. Comput.-aided Des. Integ. Circ. Syst. 38, 2 (2019), 199--207.
[94]
Wenbin Xu, Lang Feng, Jeyavijayan J. V. Rajendran, and Jiang Hu. 2019. Layout recognition attacks on split manufacturing. In Proceedings of the Asia and South Pacific Design Automation Conference. 45--50.
[95]
Xiaolin Xu, Bicky Shakya, Mark M. Tehranipoor, and Domenic Forte. 2017. Novel bypass attack and BDD-based tradeoff analysis against all known logic locking attacks. In Proceedings of the International Conference on Cryptographic Hardware and Embedded Systems.
[96]
Kaiyuan Yang, Matthew Hicks, Qing Dong, Todd Austin, and Dennis Sylvester. 2016. A2: Analog malicious hardware. In Proceedings of the IEEE Symposium on Security and Privacy (SP’16). 18--37.
[97]
Muhammad Yasin, Bodhisatwa Mazumdar, Jeyavijayan J. V. Rajendran, and Ozgur Sinanoglu. 2016. SARLock: SAT attack resistant logic locking. In Proceedings of the IEEE International Symposium on Hardware-oriented Security and Trust (HOST’16). 236--241.
[98]
Muhammad Yasin, Jeyavijayan J. V. Rajendran, Ozgur Sinanoglu, and Ramesh Karri. 2015. On improving the security of logic locking. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, 9 (2015), 1411--1424.
[99]
Muhammad Yasin, Abhrajit Sengupta, Mohammed Thari Nabeel, Mohammed Ashraf, Jeyavijayan J. V. Rajendran, and Ozgur Sinanoglu. 2017. Provably-secure logic locking: From theory to practice. In Proceedings of the ACM SIGSAC Conference on Computer and Communications Security. 1601--1618.
[100]
Muhammad Yasin, Abhrajit Sengupta, Benjamin Carrion Schafer, Yiorgos Makris, Ozgur Sinanoglu, and Jeyavijayan J. V. Rajendran. 2017. What to lock?: Functional and parametric locking. In Proceedings of the Great Lakes Symposium on VLSI. 351--356.
[101]
Muhammad Yasin and Ozgur Sinanoglu. 2015. Transforming between logic locking and IC camouflaging. In Proceedings of the International Design & Test Symposium (IDT’15). 1--4.
[102]
Qiaoyan Yu, Jaya Dofe, and Zhiming Zhang. 2017. Exploiting hardware obfuscation methods to prevent and detect hardware Trojans. In Proceedings of the International Midwest Symposium on Circuits and Systems (MWSCAS’17). 819--822.
[103]
Qiaoyan Yu, Jaya Dofe, Zhiming Zhang, and Sean Kramer. 2018. Hardware obfuscation methods for hardware Trojan prevention and detection. In The Hardware Trojan War. Springer, 291--325.
[104]
Dongrong Zhang, Xiaoxiao Wang, Md Tauhidur Rahman, and Mark Tehranipoor. 2018. An on-chip dynamically obfuscated wrapper for protecting supply chain against IP and IC piracies. IEEE Trans. Very Large Scale Integ. Syst. 26, 11 (2018), 2456--2469.
[105]
Yuqiao Zhang, Pinchen Cui, Ziqi Zhou, and Ujjwal Guin. 2019. TGA: An oracle-less and topology-guided attack on logic locking. In Proceedings of the the ACM Workshop on Attacks and Solutions in Hardware Security Workshop (ASHES’19). 75--83.
[106]
Bin Zhou, Wei Zhang, Srikanthan Thambipillai, and J. K. J. Teo. 2014. A low cost acceleration method for hardware Trojan detection based on fan-out cone analysis. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis. 28.
[107]
Ziqi Zhou, Ujjwal Guin, and Vishwani D. Agrawal. 2018. Modeling and test generation for combinational hardware Trojans. In Proceedings of the VLSI Test Symposium (VTS’18). 1--6.

Cited By

View all
  • (2024)From Full-Custom to Gate-Array ASIC for Hardware IP Protection2024 IEEE 17th Dallas Circuits and Systems Conference (DCAS)10.1109/DCAS61159.2024.10539912(1-5)Online publication date: 19-Apr-2024
  • (2023)Metrics-to-Methods: Decisive Reverse Engineering Metrics for Resilient Logic LockingProceedings of the Great Lakes Symposium on VLSI 202310.1145/3583781.3590273(685-690)Online publication date: 5-Jun-2023
  • (2023)Complexity Analysis of the SAT Attack on Logic LockingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.324093342:10(3143-3156)Online publication date: 30-Jan-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 26, Issue 4
Survey Paper
July 2021
209 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/3447538
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 09 March 2021
Accepted: 01 December 2020
Revised: 01 November 2020
Received: 01 April 2020
Published in TODAES Volume 26, Issue 4

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. IC overproduction
  2. IP piracy
  3. Logic locking
  4. hardware Trojans
  5. tampering

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

  • NSF and USAF/AFMC
  • National Science Foundation
  • United States Air Force/Air Force Materiel Command (USAF/AFMC)

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)111
  • Downloads (Last 6 weeks)4
Reflects downloads up to 04 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)From Full-Custom to Gate-Array ASIC for Hardware IP Protection2024 IEEE 17th Dallas Circuits and Systems Conference (DCAS)10.1109/DCAS61159.2024.10539912(1-5)Online publication date: 19-Apr-2024
  • (2023)Metrics-to-Methods: Decisive Reverse Engineering Metrics for Resilient Logic LockingProceedings of the Great Lakes Symposium on VLSI 202310.1145/3583781.3590273(685-690)Online publication date: 5-Jun-2023
  • (2023)Complexity Analysis of the SAT Attack on Logic LockingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.324093342:10(3143-3156)Online publication date: 30-Jan-2023
  • (2023)Securing the Digital Devices Through System on Chip Control and External Attack Detection Using FPGA2023 International Conference on Data Science and Network Security (ICDSNS)10.1109/ICDSNS58469.2023.10245853(1-5)Online publication date: 28-Jul-2023
  • (2023)PUF-based Hardware Trojan: Design and Novel Attack on Encryption Circuit2023 International Conference on Electrical, Computer and Communication Engineering (ECCE)10.1109/ECCE57851.2023.10101599(1-5)Online publication date: 23-Feb-2023
  • (2023)On Attacking Scan-based Logic Locking Schemes2023 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)10.1109/DFT59622.2023.10313561(1-4)Online publication date: 3-Oct-2023
  • (2023)Structural and SCOAP Features Based Approach for Hardware Trojan Detection Using SHAP and Light Gradient Boosting ModelJournal of Electronic Testing: Theory and Applications10.1007/s10836-023-06080-939:4(465-485)Online publication date: 22-Sep-2023
  • (2023)Design-for-Testability and Its Impact on Logic LockingUnderstanding Logic Locking10.1007/978-3-031-37989-5_9(213-249)Online publication date: 23-Sep-2023
  • (2023)Multilayer Approach to Logic LockingUnderstanding Logic Locking10.1007/978-3-031-37989-5_11(279-307)Online publication date: 23-Sep-2023
  • (2022)GNNUnlock+: A Systematic Methodology for Designing Graph Neural Networks-Based Oracle-Less Unlocking Schemes for Provably Secure Logic LockingIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2021.310848710:3(1575-1592)Online publication date: 1-Jul-2022
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media