Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

IMPRoVED: Integrated Method to Predict PostRouting setup Violations in Early Design Stages

Published: 17 May 2023 Publication History

Abstract

The detail routing process is by far the most time consuming during the physical design flow. Routing starts with an estimation of timing slacks and aims to meet the timing specifications at signoff. In this paper, we propose an improved method to predict the net delays using RandomForestRegressor and thereby predict critical paths early on at the placement stage. Quick timing prediction is also essential in making time-sensitive edits to stepping of the chip based on post-Si feedback. The proposed algorithm is based on five novel features, namely, targeted feature selection, introduction of a one-hot encoding scheme, an outlier identification method, post-route buffer-bloat prediction, and post-route cell sizing prediction. Experimental results on academic benchmarks and industry circuits, both on advanced 10nm process node show that the proposed algorithm has led to significant improvements in accurately predicting timing slacks when compared with state of the art. The proposed algorithm predicts slack within 0.598% of signoff results, whereas the state of art results are erroneous by an average of 53.33% for the same metric. Overall time savings of 44.1% is seen when compared to running the traditional flow, and savings of 90% is seen for obtaining the timing results.

References

[1]
C. J. Alpert, J. Hu, S. S. Sapatnekar, and C.-N. Sze. 2004. Accurate estimation of global buffer delay within a floorplan. In International Conference On Computer Aided Design (ICCAD). 706–711.
[2]
L. Breiman. 2001. Random forests, machine learning 45, 1 (2001), 5–32.
[3]
S. Davidson. 1999. Characteristics of the ITC99 benchmark circuits. In IEEE International Test Synthesis Workshop (ITSW’99).
[4]
P. Cerda, G. Varoquaux, and B. Kégl. 2018. Similarity encoding for learning with dirty categorical variables. Mach Learn 107, (2018), 1477–1494.
[5]
Erick Carvajal Barboza et al. 2019. Machine learning-based pre-routing timing prediction with reduced pessimism. 56th ACM/IEEE Design Automation Conference (DAC). IEEE.
[6]
Andrew B. Kahng, Uday Mallappa, and Lawrence Saul. 2018. Using machine learning to predict path-based slack from graph-based timing analysis. IEEE 36th International Conference on Computer Design (ICCD). IEEE.
[7]
Andrew B. Kahng et al. 2019. Unobserved corner prediction: Reducing timing analysis effort for faster design convergence in advanced node design. Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE.
[8]
Wei-Ting J. Chan et al. 2016. Learning-based prediction of embedded memory timing failures during initial floorplan design. 21st Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE.
[9]
A. B. Kahng, S. Kang, H. Lee, S. Nath, and J. Wadhwani. 2014. Learning-based approximation of interconnect delay and slew in signoff timing tools. In ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP’14), Vol. 00. 1–8.
[10]
S. S. Han, A. B. Kahng, S. Nath, and A. S. Vydyanathan. 2014. A deep learning methodology to proliferate golden signoff timing. In Design, Automation & Test in Europe (DATE). 260:1–260:6.
[11]
A. B. Kahng, M. Luo, and S. Nath. 2015. SI for free: Machine learning of interconnect coupling delay and transition effects. In ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP’15). 1–8
[12]
S. S. Han, A. B. Kahng, S. Nath, and A. S. Vydyanathan. 2014. A deep learning methodology to proliferate golden signoff timing. In Design, Automation & Test in Europe (DATE’14). 260:1–260:6.
[13]
W. C. Elmore. 1948. The transient response of damped linear networks with particular regard to wideband amplifiers. In Journal of Applied Physics, Vol. 19. 55–63.
[14]
H. Chang and S. S. Sapatnekar. 2003. Statistical timing analysis considering spatial correlations using a single PERT-like traversal. In International Conference On Computer Aided Design (ICCAD’03). 621–625.
[15]
Yilmaz Ender, Sule Ozev, and Kenneth M. Butler. 2011. Adaptive multidimensional outlier analysis for analog and mixed signal circuits. In 2011 IEEE International Test Conference. IEEE, 1–8.
[17]
A. Devgan and C. Kashyap. 2003. Block-based static timing analysis with uncertainty. In ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No. 03CH37486). IEEE, (607–614).
[18]
J. Bhasker and R. Chadha. 2009. Static timing analysis for nanometer designs: A practical approach. Springer Science & Business Media.
[19]
C. Forzan and D. Pandini. 2009. Statistical static timing analysis: A survey. Integration 42, 3 (2009), 409–435.
[20]
C. S. Amin, N. Menezes, K. Killpack, F. Dartu, U. Choudhury, N. Hakim, and Y. I. Ismail. 2005. Statistical static timing analysis: How simple can we get?. In Proceedings of the 42nd Annual Design Automation Conference. 652–657.
[21]
Z. Guo, T. W. Huang, and Y. Lin. 2020. GPU-accelerated static timing analysis. In Proceedings of the 39th International Conference on Computer-Aided Design. 1–9.
[22]
A. Agarwal, K. Chopra, D. Blaauw, and V. Zolotov. 2005. Circuit optimization using statistical static timing analysis. In Proceedings 42nd Design Automation Conference. IEEE, 321–324.
[23]
A. Gattiker, S. Nassif, R. Dinakar, and C. Long. 2001. Timing yield estimation from static timing analysis. In Proceedings of the IEEE 2001 2nd International Symposium on Quality Electronic Design. IEEE, 437–442.
[24]
A. B. Kahng. 2018. Machine learning applications in physical design: Recent results and directions. In Proceedings of the 2018 International Symposium on Physical Design. 68–73.
[25]
A. B. Kahng and T. Spyrou. 2021. The OpenROAD project: Unleashing hardware innovation. In Proc. GOMAC.
[26]
B. Khailany, H. Ren, S. Dai, S. Godil, B. Keller, R. Kirby, and W. J. Dally. 2020. Accelerating chip design with machine learning. IEEE Micro 40, 6 (2020), 23–32.
[27]
A. F. Tabrizi, N. K. Darav, S. Xu, L. Rakai, I. Bustany, A. Kennings, and L. Behjat. 2018. A machine learning framework to identify detailed routing short violations from a placed netlist. In Proceedings of the 55th Annual Design Automation Conference. 1–6.
[28]
X. He, Z. Fu, Y. Wang, C. Liu, and Y. Guo. 2022. Accurate timing prediction at placement stage with look-ahead RC network. In Proceedings of the 59th ACM/IEEE Design Automation Conference. 1213–1218.
[29]
Se June Hong and R. Nair. 1983. Wire-routing machines-new tools for VLSI physical design. In Proceedings of the IEEE, 71, 1 (1983), 57–65. DOI:
[30]
C. J. Alpert, Z. Li, M. D. Moffitt, G. J. Nam, J. A. Roy, and G. Tellez. 2010. What makes a design difficult to route. In Proceedings of the 19th International Symposium on Physical Design. 7–12.
[31]
H. Ren and S. Dutt. 2008. A network-flow based cell sizing algorithm structure, 1(N3).
[32]
M. Vujkovic, D. Wadkins, B. Swartz, and C. Sechen. 2004. Efficient timing closure without timing driven placement and routing. In Proceedings of the 41st Annual Design Automation Conference. 268–273.
[33]
G. Flach, M. Fogaça, J. Monteiro, M. Johann, and R. Reis. 2016. Drive strength aware cell movement techniques for timing driven placement. In Proceedings of the 2016 on International Symposium on Physical Design. 73–80.
[34]
A. M. Islam, S. Nishizawa, Y. Matsui, and Y. Ichida. 2019. Drive-strength selection for synthesis of leakage-dominant circuits. In 20th International Symposium on Quality Electronic Design (ISQED’19). IEEE, 298–303.
[35]
A. F. Tabrizi, N. K. Darav, L. Rakai, A. Kennings, and L. Behjat. 2017. Detailed routing violation prediction during placement using machine learning. In 2017 International Symposium on VLSI Design, Automation and Test (VLSI-DAT’17). IEEE, 1–4.
[36]
F. K. Sun, H. Chen, C. Y. Chen, C. H. Hsu, and Y. W. Chang. 2018. A multithreaded initial detailed routing algorithm considering global routing guides. In 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’18). IEEE, 1–7.
[37]
D. F. Wong and M. Guruswamy. 1991. Channel ordering for VLSI layout with rectilinear modules. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems 10, 11 (1991), 1425–1431.

Index Terms

  1. IMPRoVED: Integrated Method to Predict PostRouting setup Violations in Early Design Stages

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 4
    July 2023
    432 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/3597460
    Issue’s Table of Contents

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 17 May 2023
    Online AM: 29 November 2022
    Accepted: 12 November 2022
    Revised: 20 October 2022
    Received: 15 April 2022
    Published in TODAES Volume 28, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Design automation
    2. machine learning
    3. distance-based-filtering
    4. one-hot-encoding
    5. signoff timing prediction

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 263
      Total Downloads
    • Downloads (Last 12 months)86
    • Downloads (Last 6 weeks)5
    Reflects downloads up to 09 Nov 2024

    Other Metrics

    Citations

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    Full Text

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media