Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

FORTIS: A Comprehensive Solution for Establishing Forward Trust for Protecting IPs and ICs

Published: 27 May 2016 Publication History

Abstract

With the advent of globalization in the semiconductor industry, it is necessary to prevent unauthorized usage of third-party IPs (3PIPs), cloning and unwanted modification of 3PIPs, and unauthorized production of ICs. Due to the increasing complexity of ICs, system-on-chip (SoC) designers use various 3PIPs in their design to reduce time-to-market and development costs, which creates a trust issue between the SoC designer and the IP owners. In addition, as the ICs are fabricated around the globe, the SoC designers give fabrication contracts to offshore foundries to manufacture ICs and have little control over the fabrication process, including the total number of chips fabricated. Similarly, the 3PIP owners lack control over the number of fabricated chips and/or the usage of their IPs in an SoC. Existing research only partially addresses the problems of IP piracy and IC overproduction, and to the best of our knowledge, there is no work that considers IP overuse. In this article, we present a comprehensive solution for preventing IP piracy and IC overproduction by assuring forward trust between all entities involved in the SoC design and fabrication process. We propose a novel design flow to prevent IC overproduction and IP overuse. We use an existing logic encryption technique to obfuscate the netlist of an SoC or a 3PIP and propose a modification to enable manufacturing tests before the activation of chips which is absolutely necessary to prevent overproduction. We have used asymmetric and symmetric key encryption, in a fashion similar to Pretty Good Privacy (PGP), to transfer keys from the SoC designer or 3PIP owners to the chips. In addition, we also propose to attach an IP digest (a cryptographic hash of the entire IP) to the header of an IP to prevent modification of the IP by the SoC designers. We have shown that our approach is resistant to various attacks with the cost of minimal area overhead.

References

[1]
Yousra Alkabani, Farinaz Koushanfar, and Miodrag Potkonjak. 2007. Remote activation of ICs for piracy prevention and digital right management. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 674--677.
[2]
Yousra M. Alkabani and Farinaz Koushanfar. 2007. Active hardware metering for intellectual property protection and security. In Proceedings of the16th USENIX Security Symposium on USENIX Security. Article 20, 16 pages.
[3]
A. Baumgarten, A. Tyagi, and J. Zambreno. 2010. Preventing IC piracy using reconfigurable logic barriers. IEEE Design and Test of Computers 27, 1 (Jan.-Feb. 2010), 66--75. 10.1109/MDT.2010.24
[4]
M. Bushnell and Vishwani Agrawal. 2000. Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits. Springer.
[5]
Encarnación Castillo, Uwe Meyer-Baese, Antonio García, Luis Parrilla, and Antonio Lloris. 2007. IPP@HDL: Efficient intellectual property protection scheme for IP cores. IEEE Transactions on Very Large Scale Integrated Systems 15, 5 (May 2007), 578--591.
[6]
R. S. Chakraborty and S. Bhunia. 2008. Hardware protection and authentication through netlist level obfuscation. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 674--677.
[7]
R. S. Chakraborty and S. Bhunia. 2009. HARPOON: An obfuscation-based SoC design methodology for hardware protection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28, 10 (Oct. 2009), 1493--1502.
[8]
E. Charbon. 1998. Hierarchical watermarking in IC design. In Proceedings of the IEEE 1998 Custom Integrated Circuits Conference. 295--298.
[9]
G. Contreras, T. Rahman, and M. Tehranipoor. 2013. Secure split-test for preventing IC piracy by untrusted foundry and assembly. In Proceedings of the International Symposium on Fault and Defect Tolerance in VLSI Systems.
[10]
DASC. 2014. 1735-2014 - IEEE approved draft recommended practice for encryption and management of electronic design intellectual property (IP). https://standards.ieee.org/findstds/standard/1735-2014.html.
[11]
Scott Davidson. 2015. ITC99 benchmark home page. Retrieved from https://www.cerc.utexas.edu/itc99- benchmarks/bench.html.
[12]
Morris Dworkin. 2001. NIST Special Publication 800-38A: Recommendation for Block Cipher Modes of Operation. http://csrc.nist.gov/publications/nistpubs/800-38a/sp800-38a.pdf.
[13]
Daniel E. Holcomb, Wayne P. Burleson, and Kevin Fu. 2007. Initial SRAM state as a fingerprint and source of true random numbers for RFID tags. In Proceedings of the Conference on RFID Security.
[14]
Jiawei Huang and J. Lach. 2008. IC activation and user authentication for security-sensitive systems. In Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust. 76--80.
[15]
IEEE Standards Association and others. 2001. 1149.1-2001 - IEEE Standard Test Access Port and Boundary Scan Architecture. IEEE.
[16]
Doo Seok Jeong, Reji Thomas, R. S. Katiyar, J. F. Scott, H. Kohlstedt, A. Petraru, and Cheol Seong Hwang. 2012. Emerging memories: Resistive switching mechanisms and current status. Reports on Progress in Physics 75, 7 (2012), 076502.
[17]
A. B. Kahng, J. Lach, W. H. Mangione-Smith, S. Mantik, I. L. Markov, M. Potkonjak, P. Tucker, Huijuan Wang, and G. Wolfe. 2001. Constraint-based watermarking techniques for design IP protection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 20, 10 (Oct 2001), 1236--1252.
[18]
A. B. Kahng, J. Lach, W. H. Mangione-Smith, S. Mantik, I. L. Markov, M. Potkonjak, P. Tucker, H. Wang, and G. Wolfe. 2006. Constraint-based watermarking techniques for design IP protection. IEEE Transactions on Compture-Aided Design Integrated Circuits and Sytems 20, 10 (Nov. 2006), 1236--1252.
[19]
D. Kirovski, Yean-Yow Hwang, M. Potkonjak, and J. Cong. 2006. Protecting combinational logic synthesis solutions. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25, 12 (Dec 2006), 2687--2696.
[20]
F. Koushanfar. 2012. Provably secure active IC metering techniques for piracy avoidance and digital rights management. IEEE Transactions on Information Forensics and Security 7, 1 (Feb. 2012), 51--63.
[21]
F. Koushanfar and Gang Qu. 2001. Hardware metering. In Proceedings of the IEEE-ACM Design Automation Conference. 490--493.
[22]
Farinaz Koushanfar, Gang Qu, and Miodrag Potkonjak. 2001. Intellectual property metering. In Inform. Hiding. Springer-Verlag, 81--95.
[23]
S. S. Kumar, J. Guajardo, R. Maes, G.-J. Schrijen, and P. Tuyls. 2008. Extended abstract: The butterfly PUF protecting IP on every FPGA. In Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust. 67--70.
[24]
J. Kurose and K. Ross. 2001. Computer Networks: A Top-Down Approach. http://www.amazon.com/Computer-Networking-Top-Down-Approach-6th/dp/0132856204.
[25]
J. Lach, W. H. Mangione-Smith, and M. Potkonjak. 2001. Fingerprinting techniques for field-programmable gate array intellectual property protection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 20, 10 (Oct 2001), 1253--1261.
[26]
J. W. Lee, Daihyun Lim, B. Gassend, G. E. Suh, M. van Dijk, and S. Devadas. 2004. A technique to build a secret key in integrated circuits for identification and authentication applications. In Proceedings of Digest of Technical Papers on VLSI Circuits. 176--179.
[27]
K. Lofstrom, W. R. Daasch, and D. Taylor. 2000. IC identification circuit using device mismatch. In Proceedings of the IEEE International Solid-State Circuits Conference. 372--373. 10.1109/ISSCC.2000.839821
[28]
Microsemi. 2014. Libero SoC Secure IP Flow User Guide for IP Vendors and Libero SoC Users. Retrieved from http://www.microsemi.com/document-portal/doc_view/133573-libero-soc-secure-ip-flow-user-guide.
[29]
A. Miyamoto, N. Homma, T. Aoki, and A. Satoh. 2011. Systematic design of RSA processors based on high-radix montgomery multipliers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19, 7 (July 2011), 1136--1146.
[30]
Pradeep Nagaraj. 2015. Choosing the Right Scan Compression Architecture for Your Design. Technical Report. Retrieved from https://www.cadence.com/rl/Resources/white_papers/Test_Compression_wp.pdf.
[31]
NIST. 2012. FIPS PUB 180-4: Secure Hash Standard. http://www.nist.gov/customcf/get_pdf.cfm?pub_id=910977.
[32]
OpenCores. 2015. Homepage. Retrieved from https://www.opencores.org.
[33]
OpenSPARC T1. 2015. Retrieved from http://www.oracle.com/technetwork/systems/opensparc/opensparc-t1-page-1444609.html.
[34]
Christof Paar and Jan Pelzl. 2009. Understanding Cryptography: A Textbook for Students and Practitioners. Springer Science & Business Media.
[35]
Gang Qu and Miodrag Potkonjak. 2003. Intellectual Property Protection in VLSI Designs: Theory and Practice. Springer Science & Business Media.
[36]
Md Tauhidur Rahman, Domenic Forte, Quihang Shi, Gustavo K Contreras, and Mohammad Tehranipoor. 2014. CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly. In Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT). IEEE, 46--51.
[37]
J. Rajendran, Y. Pino, O. Sinanoglu, and R. Karri. 2012. Security analysis of logic obfuscation. In Proceedings of the 2012 49th ACM/EDAC/IEEE Design Automation Conference (DAC). 83--89.
[38]
R. L. Rivest, A. Shamir, and L. Adleman. 1978. A method for obtaining digital signatures and public-key cryptosystems. Communications of the ACM 21, 2 (Feb. 1978), 120--126.
[39]
J. A. Roy, F. Koushanfar, and I. L. Markov. 2008. EPIC: Ending piracy of integrated circuits. In Proceedings of the Conferences on Design, Automation and Test in Europe. 1069--1074. 10.1109/DATE.2008.4484823
[40]
Y. Su, J. Holleman, and B. Otis. 2007. A 1.6pJ/bit 96 using process variations. In Proceedings of the IEEE International on Solid-State Circuits Conference. 406--611.
[41]
P. Subramanyan, S. Ray, and S. Malik. 2015. Evaluating the security of logic encryption algorithms. In Proceedings of the 2015 IEEE International Symposium onHardware Oriented Security and Trust (HOST). 137--143.
[42]
G. E. Suh and S. Devadas. 2007. Physical unclonable functions for device authentication and secret key generation. In Proceedings of the ACM/IEEE on Design Automation Conference. 9--14.
[43]
B. Sunar, W. J. Martin, and D. R. Stinson. 2007. A provably secure true random number generator with built-in tolerance to active attacks. IEEE Transactions on Computers 56, 1 (Jan 2007), 109--119.
[44]
Synopsys. 2014. Synopsys FPGA Synthesis Synplify Pro for Lattice: User Guide. (November 2014).
[45]
Synopsys. 2015a. 32/28nm generic library for teaching IC design. Retrieved from https://www.synopsys.com/ COMMUNITY/UNIVERSITYPROGRAM/Pages/32-28nm-generic-library.aspx.
[46]
Synopsys. 2015b. Compression for Highest Test Quality and Lowest Test Cost. Retrieved from https://www.synopsys.com/Tools/Implementation/RTLSynthesis/Test/Pages/dftmax-ultra-ds.aspx.
[47]
Synopsys. 2015c. DFT Compiler, DFTMAXTM, and DFTMAXTM Ultra User Guide. (Septermber 2015).
[48]
Synopsys. 2015d. High Quality, Low Cost Test. Retrieved from https://www.synopsys.com/Tools/Implementat ion/RTLSynthesis/Test/Pages/DFTMAX.aspx.
[49]
Mohammad Tehranipoor and Cliff Wang. 2012. Introduction to Hardware Security and Trust. Springer.
[50]
Mark (Mohammad) Tehranipoor, Ujjwal Guin, and Domenic Forte. 2015. Counterfeit Integrated Circuits: Detection and Avoidance. Springer.
[51]
Xilinx. 2105a. Zynq 7000 Combined Product Table. Retrieved from http://www.xilinx.com/publications/ prod_mktg/zynq7000/Zynq-7000-combined-product-table.pdf.
[52]
Xilinx. 2105b. Virtex 7 Product Table. Retrieved from http://www.xilinx.com/publications/prod_mktg/Virt ex7-Product-Table.pdf.
[53]
Age Yeh. 2012. Trends in the global IC design service market. DIGITIMES Research. http://www.digitimes.com/news/a20120313RS400.html?chid=2.
[54]
Xiaotong Zhuang, Tao Zhang, Hsien-Hsin S. Lee, and Santosh Pande. 2004. Hardware assisted control flow obfuscation for embedded processors. In Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES’04). ACM, New York, NY, 292--302.

Cited By

View all
  • (2024)SECT-HI: Enabling Secure Testing for Heterogeneous Integration to Prevent SiP Counterfeits2024 IEEE International Test Conference (ITC)10.1109/ITC51657.2024.00051(303-312)Online publication date: 3-Nov-2024
  • (2024)Exploring Security Solutions and Vulnerabilities for Embedded Non-Volatile Memories2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI61997.2024.00072(361-366)Online publication date: 1-Jul-2024
  • (2024)SAP: Silicon Authentication Platform for System-on-Chip Supply Chain Vulnerabilities2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS61541.2024.00020(109-119)Online publication date: 5-May-2024
  • Show More Cited By

Index Terms

  1. FORTIS: A Comprehensive Solution for Establishing Forward Trust for Protecting IPs and ICs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 4
    September 2016
    423 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2939671
    • Editor:
    • Naehyuck Chang
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 27 May 2016
    Accepted: 01 February 2016
    Revised: 01 December 2015
    Received: 01 August 2015
    Published in TODAES Volume 21, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 3PIP
    2. IC overproduction
    3. IP overuse
    4. encryption
    5. supply chain
    6. system-on-chip

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)53
    • Downloads (Last 6 weeks)6
    Reflects downloads up to 28 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)SECT-HI: Enabling Secure Testing for Heterogeneous Integration to Prevent SiP Counterfeits2024 IEEE International Test Conference (ITC)10.1109/ITC51657.2024.00051(303-312)Online publication date: 3-Nov-2024
    • (2024)Exploring Security Solutions and Vulnerabilities for Embedded Non-Volatile Memories2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI61997.2024.00072(361-366)Online publication date: 1-Jul-2024
    • (2024)SAP: Silicon Authentication Platform for System-on-Chip Supply Chain Vulnerabilities2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS61541.2024.00020(109-119)Online publication date: 5-May-2024
    • (2024)Digital Twin for Secure Semiconductor Lifecycle ManagementHardware Security10.1007/978-3-031-58687-3_8(345-399)Online publication date: 3-Apr-2024
    • (2024)Advances in Logic LockingHardware Security10.1007/978-3-031-58687-3_2(53-142)Online publication date: 3-Apr-2024
    • (2024)Supply Chain SecurityThe Palgrave Handbook of Supply Chain Management10.1007/978-3-031-19884-7_30(561-584)Online publication date: 2-Feb-2024
    • (2023)JinnProceedings of the 32nd USENIX Conference on Security Symposium10.5555/3620237.3620627(6965-6982)Online publication date: 9-Aug-2023
    • (2023)Complexity Analysis of the SAT Attack on Logic LockingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.324093342:10(3143-3156)Online publication date: Oct-2023
    • (2023)A Comprehensive Test Pattern Generation Approach Exploiting the SAT Attack for Logic LockingIEEE Transactions on Computers10.1109/TC.2023.324826872:8(2293-2305)Online publication date: 1-Aug-2023
    • (2023)A Modular Blockchain Framework for Enabling Supply Chain Provenance2023 IEEE Physical Assurance and Inspection of Electronics (PAINE)10.1109/PAINE58317.2023.10318006(1-7)Online publication date: 24-Oct-2023
    • Show More Cited By

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media