Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1403375.1403590acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

CASP: concurrent autonomous chip self-test using stored test patterns

Published: 10 March 2008 Publication History

Abstract

CASP, Concurrent Autonomous chip self-test using Stored test Patterns, is a special kind of self-test where a system tests itself concurrently during normal operation without any downtime visible to the end-user. CASP consists of two ideas: 1. Storage of very thorough test patterns in non-volatile memory; and, 2. Architectural and system-level support for autonomous testing of one or more cores in a multi-core system using stored patterns, concurrently with normal system operation, without bringing down the entire system. CASP enables design of robust systems with built-in features for circuit failure prediction, error detection, self-diagnosis and self-repair. Such systems are necessary to overcome major reliability challenges in scaled-CMOS technologies. Implementation of CASP in the OpenSPARC T1 multi-core processor demonstrates its effectiveness and practicality.

References

[1]
{Agarwal 07} Agarwal M., B. Paul, M. Zhang, and S. Mitra, "Circuit Failure Prediction and Its Application to Transistor Aging," IEEE VLSI Test Symp., pp. 277--286, 2007.
[2]
{Al-Asaad 98} Al-Asaad, H., B. T. Murray, and J. P. Hayes, "Online BIST for Embedded Systems," IEEE Design & Test of Computers, pp. 17--24, 1998.
[3]
{AMD 07} "AMD Multicore Technology Evolution," http://multicore.amd.com/us-en/AMD-Multi-Core/Technology-Evolution.aspx.
[4]
{Azul 07} "Azul Systems First to Deliver 48-Way Multicore Chip, Redefining Standard in Enterprise Computing," http://www.azulsystems.com/press/032706_vega2.htm
[5]
{Bardell 87} Bardell, P. H., W. H. McAnney, and J. Savir, Buit-In Test for VLSI: Pseudorandom Techniques, Wiley, 1987.
[6]
{Bardell 91} Bardell P. H., and M. J. Papointe, "Production Experience with Built-In Self-Test," Proc. Intl. Test Conf., pp. 28--36, 1991.
[7]
{Bernick 05} Bernick, D., et al., "Non-Stop Advanced Architecture," Intl. Conf. Dependable Systems and Networks, pp. 12--21, 2005.
[8]
{Bonhomme 01} Bonhomme, Y., et al., "A Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores," Proc. Asian Test Symp., pp. 253--258, 2001.
[9]
{Borkar 05} Borkar, S., "Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation," IEEE Micro, Vol. 25, Issue 6, pp. 10--16, 2005.
[10]
{Borkar 07} Borkar, S., "Thousand Core Chips -- A Technology Perspective," Proc. Design Automation Conf., pp. 746--749, 2007.
[11]
{Breuer 86} Breuer, M., and A. Ismaeel, "Roving Emulation as a Fault Detection Mechanism," IEEE Trans. Comput., pp. 933--939, 1986.
[12]
{Cadence 04} "Delay Test Methods in Encounter Test," http://sourcelink.cadence.com/docs/files/Application_Notes/2004/EncounterTest_delay_test.pdf.
[13]
{Chandra 02} Chandra, A., and K., Chakrabarty, "Low-Power Scan Testing and Test Data Compresiion for System-on-a-Chip," IEEE Trans. CAD, pp. 597--604, 2002.
[14]
{Chen 03} Chen, L., et al., "A Scalable Software-based Self-test Methodology for Programmable Processors," Proc. Design Automation Conf., pp. 548--553, 2003.
[15]
{Cisco 07} http://newsroom.cisco.com/dlls/partners/news/2004/pr_prod_06-09.html
[16]
{Constantinides 06} Constantinides, K., et al., "BulletProof: A Defect-Tolerant CMP Switch Architecture," Proc. Intl. Symp. High-Performance Computer Architecture, 2006.
[17]
{Corno 96} Corno, M., et al., "On-line Testing of an Off-the-shelf Microprocessor Board for Safety-critical Applications," European Dependable Computing Conf., pp. 190--202, 1996.
[18]
{Dell 07} http://www.dell.com/content/topics/global.aspx/corp/pressoffice/en/2007/2007_04_24_rr_000?c=us&l=en&s=corp.
[19]
{Gray 07} Gray, J., and B. Fitzgerald, "FLASH Disk Opportunity for Sever Applications," http://research.microsoft.com/~Gray/papers/FlashDiskPublic.doc.
[20]
{Gupta 96} Gupta, S. K., and D. Pradhan, "Utilization of On-Line (Concurrent) Checkers during Built-In Self-Test and Vice Versa," IEEE Trans. Computers, pp. 63--73, 1996.
[21]
{IBM 07} "POWER processor-based blade servers," http://www-03.ibm.com/systems/bladecenter/power-based.html
[22]
{Inoue 07} Inoue, H., et al., "Dynamic Security Domain Scaling on Symmetric Multiprocessors for Future High-End Embedded Systems," Proceedings of Intl. Conf. on CODES & ISSS, pp. 39--44, 2007.
[23]
{Intel 07} "Intel Multicore," http://www.intel.com/multi-core.
[24]
{Iyengar 06} Iyengar, V., et al., "At-Speed Structural Test for High-Performance ASICs," Proc. Intl. Test Conf., pp. 1--10, 2006.
[25]
{Karri 98} Karri, R., and N. Mukherjee, "VBIST: An Integrated approach to On-Line/Off-Line BIST," Proc. Intl. Test Conf., pp. 910--917, 1998.
[26]
{Kuppuswamy 04} Kuppuswamy, R., et al., "Full Hold-Scan Systems in Microprocessors: Cost/Benefit. Analysis," Intel Technology Journal, Vol. 18, No. 1, Feb. 2004.
[27]
{Kusko 01} Kusko, M., et al., "99% AC Test Coverage Using Only LBIST on the 1-GHz IBM S/390 Z-series 900 Microprocessor," Proc. Intl. Test Conf., pp. 586--592, 2001.
[28]
{Krantis 02} Krantis, N., et al., "Effective Software Self-Test Methodology for Processor Cores," Proc. DATE, 2002.
[29]
{Krantis 06} Krantis, N., et al., "Optimal Periodic Testing of Intermittent Faults in Embedded Pipelined Processor Applications," Proc. DATE, pp. 65--70, 2006.
[30]
{Krstic 02} Krstic, A., et al., "Embedded Software-Based Self-Test for Programmable Core-Based Designs," IEEE Design & Test of Computers, pp. 18--27, 2002.
[31]
{McCluskey 84} McCluskey, E. J., "Verification Testing -- A Pseudoexhaustive Test Technique," IEEE Trans. Computers, pp. 541--546, June 1984.
[32]
{McCluskey 86} McCluskey, E. J., Logic Design Principles, Prentice Hall, 1986.
[33]
{McNairy 04} McNairy, C., and R. Bhatia, "Montecito: The Next Product in the Itanium Processor Family", Hot Chips 16, 2004.
[34]
{Mitra 04} Mitra, S., and K. S. Kim, "X-Compact: an efficient response compaction technique," IEEE Trans. CAD, pp. 421--432, 2004.
[35]
{Molyneaux 07} Molyneaux, R., et al., "Design-for-Testability Features of the Sun Microsystems Niagara2 CMP/CMT SPARC Chip", Intl. Test Conf, 2007.
[36]
{nVidia 07} "GeForce 7950 GPUs," http://www.nvidia.com/page/geforce_7950.html.
[37]
{Parvathala 02} Parvathala, P., K. Maneparambil, and W. Lindsay, "FRITS: A Microprocessor Functional BIST Method," Proc. Intl. Test Conf., pp. 590--598, 2002.
[38]
{Paschalis 05} Paschalis, A., and D. Gizopoulos, "Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors," IEEE Trans. CAD, pp. 88--99, Jan. 2005.
[39]
{Saxena 01} Saxena, J., et al., "An Analysis of Power Reduction Techniques in Scan Testing," Intl. Test Conf., p. 670--677, 2001.
[40]
{Shen 98} Shen, J., and J. A. Abraham, "Native mode functional test generation for processors with applications to self test and design validation," Proc. Intl. Test Conf., pp. 18--23, 1998.
[41]
{Sun 06} "OpenSPARC T1 Microarchitecture Specification," http://opensparc-t1.sunsource.net/specs/OpenSPARCT1_Micro_Arch. pdf.
[42]
{SUN 07} "UltraSPARC T2 Processor," www.sun.com/processors/UltraSPARC-T2/datasheet.pdf.
[43]
{Synopsys 07} TetraMAX ATPG User Guide, version Z-2007.03.
[44]
{Touba 06} Touba, N. A., "Survey of Test Vector Compression Techniques," IEEE Design & Test of Computers, pp. 294--303, 2006.
[45]
{Touba 96} Touba, N. A., and E. J. McCluskey, "Altering a Pseudo-Random Bit Sequence for Scan-Based BIST," Proc. Intl. Test Conf., pp. 167--175, 1996.
[46]
{Weglarz 04} Weglarz, E., K. Saluja, and T. M. Mak, "Testing of Hard Faults in Simultaneous Multithreaded Processors," Proc. Intl. Symp. On-line Testing, pp. 95--100, 2004.
[47]
{Wunderlich 96} Wunderlich, H. J., and G. Kiefer, "Bit-Flipping BIST," Proc. ICCAD, pp. 337--343, 1996.
[48]
{Whetsel 00} Whetsel, L., "Adapting Scan Architectures for Low Power Operation," Proc. Intl. Test Conf., pp. 863--872, 2000.
[49]
{Yang 04} Yang, B., K. Wu, and R. Karri, "Scan Based Side Channel Attack on Dedicated Hardware Implementations of Data Encryption Standard," Proc. Intl. Test Conf., pp. 339--344, 2004.
[50]
{Zyuban 02} Zyuban, V., and S. Kosonocky, "Low Power Integrated Scan Retention Mechanism," Intl. Symp. Low Power Design, pp. 98--102, 2002.

Cited By

View all
  • (2024)Improving the Efficiency of Software-Based Fault Protection Mechanisms With HUSTLEIEEE Access10.1109/ACCESS.2024.343471812(104728-104741)Online publication date: 2024
  • (2023)Understanding Permanent Hardware Failures in Deep Learning Training Accelerator Systems2023 IEEE European Test Symposium (ETS)10.1109/ETS56758.2023.10173972(1-6)Online publication date: 22-May-2023
  • (2023)Harvesting Wasted Clock Cycles for Efficient Online Testing2023 IEEE European Test Symposium (ETS)10.1109/ETS56758.2023.10173955(1-6)Online publication date: 22-May-2023
  • Show More Cited By

Index Terms

  1. CASP: concurrent autonomous chip self-test using stored test patterns

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        DATE '08: Proceedings of the conference on Design, automation and test in Europe
        March 2008
        1575 pages
        ISBN:9783981080131
        DOI:10.1145/1403375
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 10 March 2008

        Permissions

        Request permissions for this article.

        Check for updates

        Qualifiers

        • Research-article

        Conference

        DATE '08
        Sponsor:
        • EDAA
        • SIGDA
        • The Russian Academy of Sciences
        DATE '08: Design, Automation and Test in Europe
        March 10 - 14, 2008
        Munich, Germany

        Acceptance Rates

        Overall Acceptance Rate 518 of 1,794 submissions, 29%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)18
        • Downloads (Last 6 weeks)1
        Reflects downloads up to 03 Sep 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Improving the Efficiency of Software-Based Fault Protection Mechanisms With HUSTLEIEEE Access10.1109/ACCESS.2024.343471812(104728-104741)Online publication date: 2024
        • (2023)Understanding Permanent Hardware Failures in Deep Learning Training Accelerator Systems2023 IEEE European Test Symposium (ETS)10.1109/ETS56758.2023.10173972(1-6)Online publication date: 22-May-2023
        • (2023)Harvesting Wasted Clock Cycles for Efficient Online Testing2023 IEEE European Test Symposium (ETS)10.1109/ETS56758.2023.10173955(1-6)Online publication date: 22-May-2023
        • (2022)Identifying Dimensions of Dynamic Technological Capability: A Systematic Review of the Last Two Decades of ResearchInternational Journal of Innovation and Technology Management10.1142/S021987702230002619:04Online publication date: 12-Mar-2022
        • (2022)PEPR: Pseudo-Exhaustive Physically-Aware Region Testing2022 IEEE International Test Conference (ITC)10.1109/ITC50671.2022.00083(314-323)Online publication date: Sep-2022
        • (2019)Cross-Layer ResilienceProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3323474(1-4)Online publication date: 2-Jun-2019
        • (2019)On-Chip Self-Test Methodology With All Deterministic Compressed Test Patterns Recorded in Scan ChainsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.280824138:2(309-321)Online publication date: Feb-2019
        • (2019)On-Chip Diagnosis of Generalized Delay Failures Using Compact Fault DictionariesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.280362138:2(322-334)Online publication date: Feb-2019
        • (2019)Online Path-Based Test Method for Network-on-Chip2019 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2019.8702409(1-5)Online publication date: May-2019
        • (2019)Daily Rainfall Data Construction and Application to Weather Prediction2019 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2019.8702124(1-5)Online publication date: May-2019
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media