Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Survey of Test Vector Compression Techniques

Published: 01 July 2006 Publication History

Abstract

Test data compression consists of test vector compression on the input side and response compaction on the output side. Test vector compression has been an active area of research, yielding a wide variety of techniques. This article summarizes and categorizes these techniques, explaining how they relate to one another. The goal is to provide a framework for understanding the theory and research in this area.

References

[1]
A. Jas and N.A. Touba, "Test Vector Compression via Cyclical Scan Chains and Its Application to Testing Core-Based Designs," Proc. Int'l Test Conf. (ITC 98), IEEE CS Press, 1998, pp. 458–464.
[2]
IEEE Trans. Computer-Aided Design, vol. 20, no. 3, Mar. 2001, pp. 355–368.
[3]
IEEE Trans. Computers, vol. 52, no. 8, Aug. 2003, pp. 1076–1088.
[4]
P.T. Gonciari, B.M. Al-Hashimi, and N. Nicolici, "Variable-Length Input Huffman Coding for System-on-a-Chip Test," IEEE Trans. Computer-Aided Design, vol. 22, no. 6, June 2003, pp. 783–796.
[5]
S.M. Reddy et al., "On Test Data Volume Reduction for Multiple Scan Chain Designs," Proc. 20th VLSI Test Symp. (VTS 02), IEEE CS Press, 2002, pp. 103–108.
[6]
L. Li, K. Chakrabarty, and N.A. Touba, "Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices," ACM Trans. Design Automation Electrical Systems, vol. 8, no. 4, Apr. 2003, pp. 470–490.
[7]
A. Würtenberger, C.S. Tautermann, and S. Hellebrand, "Data Compression for Multiple Scan Chains Using Dictionaries with Corrections," Proc. Int'l Test Conf. (ITC 04), IEEE CS Press, 2004, pp. 926–935.
[8]
A. Jas et al., "An Efficient Test Vector Compression Scheme Using Selective Huffman Coding," IEEE Trans. Computer-Aided Design, vol. 22, no. 6, June 2003, pp. 797–806.
[9]
S. Reda and A. Orailoglu, "Reducing Test Application Time Through Test Data Mutation Encoding," Proc. Design, Automation, and Test in Europe, Conf. and Exhibition (DATE 02), IEEE CS Press, 2002, pp. 387–393.
[10]
Z. Wang and K. Chakrabarty, "Test Data Compression for IP Embedded Cores Using Selective Encoding of Scan Slices," Proc. Int'l Test Conf. (ITC 05), IEEE Press, 2005, pp. 581–590.
[11]
B. Koenemann, "LFSR-Coded Test Patterns for Scan Designs," Proc. European Test Conf. (ETC 91), VDE Verlag, 1991, pp. 237–242.
[12]
L.-T. Wang, C.-W. Wu, and X. Wen, VLSI Test Principles and Architectures: Design for Testability, Morgan Kaufmann, 2006.
[13]
I. Bayraktaroglu and A. Orailoglu, "Concurrent Application of Compaction and Compression for Test Time and Data Volume Reduction in Scan Designs," IEEE Trans. Computers, vol. 52, no. 11, Nov. 2003, pp. 1480–1489.
[14]
S. Mitra and K.S. Kim, "XPAND: An Efficient Test Stimulus Compression Technique," IEEE Trans. Computers, vol. 55, no. 2, Feb. 2006, pp. 163–173.
[15]
C.V. Krishna and N.A. Touba, "Adjustable Width Linear Combinational Scan Vector Decompression," Proc. Int'l Conf. Computer-Aided Design (ICCAD 03), IEEE CS Press, pp. 863–866.
[16]
G. Mrugalski, J. Rajski, and J. Tyszer, "Ring Generators—New Devices for Embedded Test Applications," IEEE Trans. Computer-Aided Design, vol. 23, no. 9, Sept. 2004, pp. 1306–1320.
[17]
P. Wohl et al., "Efficient Compression and Application of Deterministic Patterns in a Logic BIST Architecture," Proc. 41st Design Automation Conf. (DAC 03), ACM Press, 2003, pp. 566–569.
[18]
E.H. Volkerink and S. Mitra, "Efficient Seed Utilization for Reseeding Based Compression," Proc. 21st VLSI Test Symp. (VTS 03), IEEE CS Press, 2003, pp. 232–237.
[19]
C.V. Krishna, A. Jas, and N.A. Touba, "Reducing Test Data Volume Using LFSR Reseeding with Seed Compression," Proc. Int'l Test Conf. (ITC 02), IEEE CS Press, 2002, pp 321–330.
[20]
P. Wohl et al., "Efficient Compression of Deterministic Patterns into Multiple PRPG Seeds," Proc. Int'l Test Conf. (ITC 05), IEEE Press, 2005, pp. 916–925.
[21]
B. Koenemann et al., "A SmartBIST Variant with Guaranteed Encoding," Proc. 10th Asian Test Symp. (ATS 01), IEEE CS Press, 2001, pp. 325–330.
[22]
C.V. Krishna, A. Jas, and N.A. Touba, "Test Vector Encoding Using Partial LFSR Reseeding," Proc. Int'l Test Conf. (ITC 01), IEEE CS Press, 2001, pp. 885–893.
[23]
J. Rajski et al., "Embedded Deterministic Test," IEEE Trans. on Computer-Aided Design, vol. 23, no. 5, May 2004, pp. 776–792.
[24]
X. Sun, L. Kinney, and B. Vinnakota, "Combining Dictionary Coding and LFSR Reseeding for Test Data Compression," Proc. 42nd Design Automation Conf. (DAC 04), ACM Press, 2004, pp. 944–947.
[25]
J. Lee and N.A. Touba, "Combining Linear and Non-Linear Test Vector Compression using Correlation-Based Rectangular Coding," Proc. 24th VLSI Test Symp. (VTS 06), IEEE CS Press, 2006, pp. 252–257.
[26]
K.-J. Lee, J.J. Chen, and C.H. Huang, "Using a Single Input to Support Multiple Scan Chains," Proc. Int'l Conf. Computer-Aided Design (ICCAD 98), IEEE CS Press, 1998, pp. 74–78.
[27]
I. Hamzaoglu and J.H. Patel, "Reducing Test Application Time for Full Scan Embedded Cores," Digest of Papers 29th Ann. Int'l Symp. Fault-Tolerant Computing (FTCS 99), IEEE Press, 1999, pp. 260–267.
[28]
M.A. Shah and J.H. Patel, "Enhancement of the Illinois Scan Architecture for Use with Multiple Scan Inputs," IEEE Computer Soc. Ann. Symp. VLSI (ISVLSI 04), IEEE CS Press, 2004, pp. 167–172.
[29]
A.R. Pandey and J.H. Patel, "Reconfiguration Technique for Reducing Test Time and Test Volume in Illinois Scan Architecture Based Designs," Proc. 20th VLSI Test Symp. (VTS 02), IEEE CS Press, 2002, pp. 9–15.
[30]
S. Samaranayake et al., "A Reconfigurable Shared Scan-In Architecture," Proc. 21st VLSI Test Symp. (VTS 03), IEEE CS Press, 2003, pp. 9–14.
[31]
H. Tang, S.M. Reddy, and I. Pomeranz, "On Reducing Test Data Volume and Test Application Time for Multiple Scan Designs," Proc. Int'l Test Conf. (ITC 03), IEEE CS Press, 2003, pp. 1079–1088.
[32]
N. Sitchinava et al., "Changing the Scan Enable During Shift," Proc. 22nd VLSI Test Symp. (VTS 04), IEEE CS Press, 2004, pp. 73–78.
[33]
L.-T. Wang et al., "VirtualScan: A New Compressed Scan Technology for Test Cost Reduction," Proc. Int'l Test Conf. (ITC 04), IEEE CS Press, 2004, pp. 916–925.
[34]
L.-T. Wang et al., "UltraScan: Using Time-Division Demultiplexing/Multiplexing (TDDM/TDM) with VirtualScan for Test Cost Reduction," Proc. Int'l Test Conf. (ITC 05), IEEE Press, 2005, pp. 946–953.
[35]
K. Miyase, S. Kajihara, and S.M. Reddy, "Multiple Scan Tree Design with Test Vector Modification," Proc. 13th Asian Test Symp. (ATS 04), IEEE CS Press, 2004, pp. 76–81.

Cited By

View all
  • (2024)Dynamic Test Compaction of a Compressed Test Set Shared Among Logic BlocksIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.330735243:1(394-402)Online publication date: 1-Jan-2024
  • (2024)A Fast Test Compaction Method for Commercial DFT Flow Using Dedicated Pure-MaxSAT SolverProceedings of the 29th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC58780.2024.10473833(503-508)Online publication date: 22-Jan-2024
  • (2023)Dummy Faulty Units for Reduced Fail Data Volume From Logic FaultsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.330438031:11(1754-1762)Online publication date: 1-Nov-2023
  • Show More Cited By

Index Terms

  1. Survey of Test Vector Compression Techniques

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image IEEE Design & Test
        IEEE Design & Test  Volume 23, Issue 4
        July 2006
        64 pages

        Publisher

        IEEE Computer Society Press

        Washington, DC, United States

        Publication History

        Published: 01 July 2006

        Author Tag

        1. Test Vector Compression

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 03 Sep 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Dynamic Test Compaction of a Compressed Test Set Shared Among Logic BlocksIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.330735243:1(394-402)Online publication date: 1-Jan-2024
        • (2024)A Fast Test Compaction Method for Commercial DFT Flow Using Dedicated Pure-MaxSAT SolverProceedings of the 29th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC58780.2024.10473833(503-508)Online publication date: 22-Jan-2024
        • (2023)Dummy Faulty Units for Reduced Fail Data Volume From Logic FaultsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.330438031:11(1754-1762)Online publication date: 1-Nov-2023
        • (2023)Sharing of Compressed Tests Among Logic BlocksIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.324480431:4(421-430)Online publication date: 1-Apr-2023
        • (2023)A New Static Compaction of Deterministic Test SetsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.324024631:4(411-420)Online publication date: 1-Apr-2023
        • (2023)A Low-Cost Burn-In Tester Architecture to Supply Effective Electrical StressIEEE Transactions on Computers10.1109/TC.2022.319999472:5(1447-1459)Online publication date: 1-May-2023
        • (2022)Algorithms for the Selection of Applied Tests when a Stored Test Produces Many Applied TestsProceedings of the Great Lakes Symposium on VLSI 202210.1145/3526241.3530359(345-349)Online publication date: 6-Jun-2022
        • (2021)A Cascaded Multicasting Architecture for Test Data CompressionJournal of Electronic Testing: Theory and Applications10.1007/s10836-021-05937-137:2(205-214)Online publication date: 1-Apr-2021
        • (2020)FleXORProceedings of the 34th International Conference on Neural Information Processing Systems10.5555/3495724.3495835(1311-1321)Online publication date: 6-Dec-2020
        • (2020)Deterministic Stellar BIST for Automotive ICsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.292535339:8(1699-1710)Online publication date: 1-Aug-2020
        • Show More Cited By

        View Options

        View options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media