Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Automatic design of application-specific reconfigurable processor extensions with UPaK synthesis kernel

Published: 28 December 2009 Publication History
  • Get Citation Alerts
  • Abstract

    This article presents a new tool for automatic design of application-specific reconfigurable processor extensions based on UPaK (Abstract Unified Patterns Based Synthesis Kernel for Hardware and Software Systems). We introduce a complete design flow that identifies new instructions, selects specific instructions and schedules a considered application on the newly created reconfigurable architecture. The identified extensions are implemented as specialized sequential or parallel instructions. These instructions are executed on a reconfigurable unit implementing all merged patterns. Our method uses specially developed algorithms for subgraph isomorphism that are implemented as graph matching constraints. These constraints together with separate algorithms are able to efficiently identify computational patterns and carry out application mapping and scheduling. Our methods can handle both time-constrained and resource-constrained scheduling. Experimental results show that the presented method provides high coverage of application graphs with small number of patterns and ensures high application execution speedup both for sequential and parallel application execution with reconfigurable processor extensions implementing selected patterns.

    References

    [1]
    Arnold, M. and Corporaal, H. 2001. Designing domain specific processors. In Proceedings of the 9th International Workshop on Hardware/Software CoDesign. 61--66.
    [2]
    Atasu, K., Pozzi, L., and Ienne, P. 2003. Automatic application-specific instructionset extensions under microarchitectural constraints. In Proceedings of the 40th Design Automation Conference (DAC).
    [3]
    Biswas, P., Banerjee, S., Dutt, N., Pozzi, L., and Ienne, P. 2005. ISEGEN: Generation of high-quality instruction set extensions by iterative improvement. In Proceedings of the 42nd Design Automation Conference (DAC).
    [4]
    Brisk, P., Kaplan, A., Kastner, R., and Sarrafzadeh, M. 2002. Instruction generation and regularity extraction for reconfigurable processors. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'02). ACM, New York, NY, 262--269.
    [5]
    Brisk, P., Kaplan, A., and Sarrafzadeh, M. 2004. Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. In Proceedings of the 41st Design Automation Conference, 395--400.
    [6]
    Choi, H., Yi, J. H., Lee, J.-Y., Park, I.-C., and Kyung, C.-M. 1999. Exploiting intellectual properties in ASIP designs for embedded DSP software. In Proceedings of the 36th ACM/IEEE Conference on Design Automation (DAC'99). ACM, New York, NY, 939--944.
    [7]
    Clark, N., Zong, H., and Mahlke, S. 2003. Processor acceleration through automated instruction set customization. In Proceedings of the 36th Annual International Symposium on Microarchitecture.
    [8]
    Cong, J. and Jiang, W. 2008. Pattern-based behavior synthesis for FPGA resource reduction. In Proceedings of the 16th International ACM/SIGDA Symposium on Field Programmable Gate Arrays (FPGA'08). ACM, New York, NY, 107--116.
    [9]
    Corazao, M., Khalaf, M., Guerra, L., Potkonjak, M., and Rabaey, J. 2004. Performance optimization using template mapping for datapath-intensive high-level synthesis. IEEE Trans. Comput. Aid. Des. 15, 8, 877--888.
    [10]
    Cordella, L. P., Foggia, P., Sansone, C., and Vento, M. 2004. A (sub)graph isomorphism algorithm for matching large graphs. IEEE Trans. Pattern Anal. Mach. Intell. 26, 10, 1367--1372.
    [11]
    Goodwin, D. and Petkov, D. 2003. Automatic generation of application specific processors. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'03). ACM, New York, NY, 137--147.
    [12]
    Guo, Y. 2006. Mapping applications to a coarse-grained reconfigurable architecture. PhD Thesis, University of Twent, Eindhoven, Netherlands.
    [13]
    Guo, Y., Smit, G., Broersma, H., and Heysters, P. 2003. A graph covering algorithm for a coarse grain reconfigurable system. In Proceedings of the Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'03).
    [14]
    Hopcroft, J. E. and Karp, R. M. 1973. An n5/2 algorithm for maximum matchings in bipartite graphs. SIAM J. Comput. 2, 4, 225--231.
    [15]
    Huang, Z., Malik, S., Moreano, N., and Araujo, G. 2004. The design of dynamically reconfigurable datapath coprocessors. ACM Trans. Embed. Comput. Syst. 3, 2.
    [16]
    Kastner, R., Kaplan, A., Memik, S. O., and Bozorgzadeh, E. 2002. Instruction generation for hybrid reconfigurable systems. ACM Trans. Des. Autom. Electron. Syst. 7, 4.
    [17]
    Kountouris, A. and Wolinski, C. 2002. Efficient scheduling of conditional behaviors for high level synthesis. ACM Trans. Des. Autom. Electron. Syst. 7, 3, 380--412.
    [18]
    Kuchcinski, K. 2003. Constraints-driven scheduling and resource assignment. ACM Trans. Des. Autom. Electron. Syst. 8, 3, 355--383.
    [19]
    Larrosa, J. and Valiente, G. 2002. Constraint satisfaction algorithms for graph pattern matching. Math. Struct. Comput. Sci. 12, 403--422.
    [20]
    Lee, C., Potkonjak, M., and Mangione-Smith, W. H. 1997. MediaBench: A tool for evaluating and synthesizing multimedia and communicatons systems. In Proceedings of the International Symposium on Microarchitecture. 330--335.
    [21]
    Leupers, R., Karuri, K., Kraemer, S., and Pandey, M. 2006. A design flow for configurable embedded processors based on optimized instruction set extension synthesis. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE).
    [22]
    McKay, B. D. 2004. The nauty page. http://cs.anu.edu.au/~bdm/nauty/.
    [23]
    Moreano, N., Borin, E., de Souza, C., and Araujo, G. 2005. Efficient datapath merging for partially reconfigurable architectures. IEEE Trans. Comput. Aid. Des. 24, 7, 969--980.
    [24]
    Peymandoust, A., Pozzi, L., Ienne, P., and De Micheli, G. 2003. Automatic instruction set extension and utilization for embedded processors. In Proceedings of the IEEE International Conference on Application Specific Systems, Architecture, and Processes (ASAP).
    [25]
    Rossi, F., van Beek, P., and Walsh, T., Eds. 2006. Handbook of Constraint Programming. Elsevier Science Publishers, Amsterdam, Netherlands.
    [26]
    Sorlin, S. and Solnon, C. 2004. A global constraint for graph isomorphism problems. In Proceedings of the 1st International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems (CPAIOR'04).
    [27]
    Sun, F., Ravi, S., Raghunathan, A., and Jha, N. K. 2002. Synthesis of custom processors based on extensible platforms. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'02). ACM, New York, NY, 641--648.
    [28]
    Ullmann, J. R. 1976. An algorithm for subgraph isomorphism. J. ACM 23, 1, 31--42.
    [29]
    Wang, G., Gong, W., and Kastner, R. 2004. System level partitioning for programmable platforms using the ant colony optimization. In Proceedings of the International Workshop on Logic & Synthesis (IWLS'04).
    [30]
    Wolinski, C. and Kuchcinski, K. 2007a. Computation patterns identification for instruction set extensions implemented as reconfigurable hardware. In Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms.
    [31]
    Wolinski, C. and Kuchcinski, K. 2007b. Identification of application specific instructions based on sub-graph isomorphism constraints. In Proceedings of the IEEE 18th International Conference on Application-Specific Systems, Architectures and Processors.
    [32]
    Wolinski, C. and Kuchcinski, K. 2008. Automatic selection of application-specific reconfigurable processor extensions. In Proceedings of the International Conference and Exhibition on Design Automation and Test in Europe.
    [33]
    Wolinski, C., Kuchcinski, K., and Postula, A. 2007. UPaK: Abstract unified pattern based synthesis kernel for hardware and software systems. Materials of the University Booth at DATE.
    [34]
    Wolinski, C., Kuchcinski, K., and Raffin, E. 2009. Architecture-driven synthesis of reconfigurable cells. In Proceedings of the 17th Euromicro Conference on Digital System Design.

    Cited By

    View all

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 15, Issue 1
    December 2009
    188 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/1640457
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 28 December 2009
    Accepted: 01 August 2009
    Revised: 01 May 2009
    Received: 01 December 2008
    Published in TODAES Volume 15, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Reconfigurable architectures
    2. constraint programming
    3. resource assignment
    4. scheduling
    5. system-level synthesis

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)4
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 26 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)A Multi-Dimensional Matrix Product—A Natural Tool for Parameterized Graph AlgorithmsAlgorithms10.3390/a1512044815:12(448)Online publication date: 28-Nov-2022
    • (2022)Rare Siblings Speed-Up Deterministic Detection and Counting of Small Pattern GraphsAlgorithmica10.1007/s00453-022-01063-285:4(976-991)Online publication date: 19-Nov-2022
    • (2019)Rare Siblings Speed-Up Deterministic Detection and Counting of Small Pattern GraphsFundamentals of Computation Theory10.1007/978-3-030-25027-0_22(322-334)Online publication date: 12-Aug-2019
    • (2018)A fast deterministic detection of small pattern graphs in graphs without large cliquesTheoretical Computer Science10.1016/j.tcs.2018.10.028Online publication date: Oct-2018
    • (2018)Are unique subgraphs not easier to find?Information Processing Letters10.1016/j.ipl.2018.02.010134:C(57-61)Online publication date: 1-Jun-2018
    • (2017)Static Mapping of Applications on Heterogeneous Multi-Core Platforms Combining Logic-Based Benders Decomposition with Integer Linear ProgrammingACM Transactions on Design Automation of Electronic Systems10.1145/313321923:2(1-24)Online publication date: 21-Dec-2017
    • (2017)Task graph mapping and scheduling on heterogeneous architectures under communication constraints2017 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS)10.1109/SAMOS.2017.8344634(239-244)Online publication date: Jul-2017
    • (2017)A Fast Deterministic Detection of Small Pattern Graphs in Graphs Without Large CliquesWALCOM: Algorithms and Computation10.1007/978-3-319-53925-6_17(217-227)Online publication date: 21-Feb-2017
    • (2015)Detecting and Counting Small Pattern GraphsSIAM Journal on Discrete Mathematics10.1137/14097821129:3(1322-1339)Online publication date: Jan-2015
    • (2014)A Circuit Design Method for Dynamic Reconfigurable CircuitsElectronics and Communications in Japan10.1002/ecj.1157797:2(44-51)Online publication date: 7-Feb-2014
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media