Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Power gating: Circuits, design methodologies, and best practice for standard-cell VLSI designs

Published: 07 October 2010 Publication History
  • Get Citation Alerts
  • Abstract

    Power Gating has become one of the most widely used circuit design techniques for reducing leakage current. Its concept is very simple, but its application to standard-cell VLSI designs involves many careful considerations. The great complexity of designing a power-gated circuit originates from the side effects of inserting current switches, which have to be resolved by a combination of extra circuitry and customized tools and methodologies. In this tutorial we survey these design considerations and look at the best practice within industry and academia. Topics include output isolation and data retention, current switch design and sizing, and physical design issues such as power networks, increases in area and wirelength, and power grid analysis. Designers can benefit from this tutorial by obtaining a better understanding of implications of power gating during an early stage of VLSI designs. We also review the ways in which power gating has been improved. These include reducing the sizes of switches, cutting transition delays, applying power gating to smaller blocks of circuitry, and reducing the energy dissipated in mode transitions. Power Gating has also been combined with other circuit techniques, and these hybrids are also reviewed. Important open problems are identified as a stimulus to research.

    References

    [1]
    }}Abdollahi, A., Fallah, F., and Pedram, M. 2004. Leakage current reduction in CMOS VLSI circuits by input vector control. IEEE Trans. VLSI Syst. 12, 2 (Feb.), 140--154.
    [2]
    }}Abdollahi, A., Fallah, F., and Pedram, M. 2005. An effective power mode transition technique in MTCMOS circuits. In Proceedings of the Design Automation Conference. 37--42.
    [3]
    }}Agarwal, K., Deogun, H., Sylvester, D., and Nowka, K. 2006. Power gating with multiple sleep modes. In Proceedings of the International Symposium on Quality Electronic Design. 633--637.
    [4]
    }}Aloul, F., Hassoun, S., Sakallah, K., and Blaauw, D. 2002. Robust SAT-based search algorithm for leakage power reduction. Lecture Notes in Computer Science vol. 2451, 253--274.
    [5]
    }}Anis, M., Areibi, S., Mahmoud, M., and Elmasry, M. 2002. Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique. In Proceedings of the Design Automation Conference. 480--485.
    [6]
    }}Anis, M. and Elmasry, M., Eds. 2003. Multi-Threshold CMOS Digital Circuits: Managing Leakage Power. Kluwer Academic Publishers.
    [7]
    }}Apache. RedHawk-ALP. http://www.apache-da.com.
    [8]
    }}Bhunia, S., Banerjee, N., Chen, Q., Mahmoodi, H., and Roy, K. 2005. A novel synthesis approach for active leakage power reduction using dynamic supply gating. In Proceedings of the Design Automation Conference. 479--484.
    [9]
    }}Cadence. 2005. Encounter User Giude.
    [10]
    }}Chen, H. and Neely, J. 1998. Interconnect and circuit modeling techniques for full-chip power supply noise analysis. IEEE Trans. Compon. Packag. Manuf. Technol. B 21, 3, 209--215.
    [11]
    }}Chen, Y., Juan, D., Lee, M., and Chang, S. 2007. An efficient wake-up schedule during power mode transition considering spurious glitches phenomenon. In Proceedings of the International Conference on Computer Aided Design. 779--782.
    [12]
    }}Cheng, L., Deng, L., Chen, D., and Wong, M. 2006. A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction. In Proceedings of the Design Automation Conference. 117--120.
    [13]
    }}Choi, E., Shin, C., Kim, T., and Shin, Y. 2008. Power-gating-aware high-level synthesis. In Proceedings of the International Symposium on Low Power Electronics and Design. 39--44.
    [14]
    }}Clark, L., Morrow, M., and Brown, W. 2004. Reverse-body bias and supply collapse for low effective standby power. IEEE Trans. VLSI Syst. 12, 9, 947--956.
    [15]
    }}Clark, L., Ricci, F., and Biyani, M. 2005. Low standby power state storage for sub-130nm technologies. IEEE J. Solid-State Circuits 40, 2, 498--506.
    [16]
    }}Devadas, S., Keutzer, K., and White, J. 1992. Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation. IIEEE Trans. Comput.-Aid. Design Integr. Circuits 11, 3, 373--383.
    [17]
    }}Dharchoudhury, A., Panda, R., Blaauw, D., Vaidyanathan, R., Tutuianu, B., and Bearden, D. 1998. Design and analysis of power distribution networks in PowerPC microprocessors. In Proceedings of the Design Automation Conference. 738--743.
    [18]
    }}Duarte, D., Tsai, Y.-F., Vijaykrishnan, N., and Irwin, M. J. 2002. Evaluating run-time techniques for leakage power reduction. In Proceedings of the International Conference on VLSI Design. 31--38.
    [19]
    }}Eichelberger, E. and Williams, T. 1977. A logic design structure for LSI testability. In Proceedings of the Design Automation Conference. 462--468.
    [20]
    }}Gu, J., Eom, H., and Kim, C. 2007. Sleep transistor sizing and control for resonant supply noise damping. In Proceedings of the International Symposium on Low Power Electronics and Design. 80--85.
    [21]
    }}Gupta, P., Kahng, A., Sharma, P., and Sylvester, D. 2006. Gate-length biasing for runtime-leakage control. IEEE Trans. Comput.-Aid. Design Integr. Circuits 25, 8, 1475--1485.
    [22]
    }}Gururajarao, S., Mair, H., Scott, D., and Ko, U. 2006. Ultra low area overhead retention flip-flop for power-down applications. U.S. Patent Application Publication 20060267654.
    [23]
    }}Halter, J. and Najm, F. 1997. A gate-level leakage power reduction method for ultra-low-power CMOS circuits. In Proceedings of the Custom Integrated Circuits Conference. 475--478.
    [24]
    }}Hamzaoglu, F. and Stan, M. R. 2002. Circuit-level techniques to control gate leakage for sub-100nm CMOS. In Proceedings of the International Symposium on Low Power Electronics and Design. 60--63.
    [25]
    }}Henzler, S., Georgakos, G., Eireiner, M., Nirschl, T., Pacha, C., Berthold, J., and Schmitt-Landsiedel, D. 2006. Dynamic state-retention flip-flop for fine-grained power gating with small design and power overhead. IEEE J. Solid-State Circuits 41, 7, 1654--1661.
    [26]
    }}Horiguchi, M., Sakata, T., and Itoh, K. 1983. Switched-source-impedance CMOS circuit for low standby subthreshold current giga-scale LSI's. IEEE J. Solid-State Circuits 28, 11, 1131--1135.
    [27]
    }}Hu, Z., Buyuktosunoglu, A., Srinivasan, V., Zyuban, V., Jacobson, H., and Bose, P. 2004. Microarchitectural techniques for power gating of execution units. In Proceedings of the International Symposium on Low Power Electronics and Design. 32--37.
    [28]
    }}Hwang, C., Rong, P., and Pedram, M. 2007. Sleep transistor distribution in row-based MTCMOS designs. In Proceedings of the Great Lakes Sympasium. on VLSI. 235--240.
    [29]
    }}Inukai, T., Takamiya, M., Nose, K., Kawaguchi, H., Hiramoto, T., and Sakurai, T. 2000. Boosted gate MOS (BGMOS): device/circuit cooperation scheme to achieve leakage-free giga-scale integration. In Proceedings of the Custom Integrated Circuits Conference. 409--412.
    [30]
    }}Jiang, H., Marek-Sadowska, M., and Nassif, S. 2005. Benefits and costs of power-gating technique. In Proceedings of the International Conference on Computer Design. 559--566.
    [31]
    }}Johnson, M., Somasekhar, D., and Roy, K. 1999. Leakage control with efficient use of transistor stacks in single threshold CMOS. In Proceedings of the Design Automation Conference. 442--445.
    [32]
    }}Kanno, Y., Mizuno, H., Yasu, Y., Hirose, K., Shimazaki, Y., Hoshi, T., Miyairi, Y., Ishii, T., Yamada, T., Irita, T., Hattori, T., Yanagisawa, K., and Irie, N. 2007. Hierarchical power distribution with power tree in dozens of power domains for 90-nm low-power multi-CPU SoCs. IEEE J. Solid-State Circuits 42, 1, 74--83.
    [33]
    }}Kao, J. and Chandrakasan, A. 2001. MTCMOS sequential circuits. In Proceedings of the European Solid-State Circuits Conference. 317--320.
    [34]
    }}Kao, J., Narendra, S., and Chandrakasan, A. 1998. MTCMOS hierarchical sizing based on mutual exclusive discharge patterns. In Proceedings of the Design Automation Conference. 495--500.
    [35]
    }}Kawaguchi, H., Nose, K., and Sakurai, T. 2000. A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere current. IEEE J. Solid-State Circuits 35, 10, 1498--1501.
    [36]
    }}Keating, M., Flynn, D., Aitken, R., Gibbons, A., and Shi, K. 2007. Low Power Methodology Manual For System-on-Chip Design. Springer.
    [37]
    }}Keshavarzi, A., Narendra, S., Borkar, S., Hawkins, C., Roy, K., and De, V. 1999. Technology scaling behavior of optimum reverse body bias for standby leakage power reduction in CMOS IC's. In Proceedings of the International Symposium on Low Power Electronics and Design. 252--254.
    [38]
    }}Kim, H. and Shin, Y. 2007. Semicustom design methodology of power gated circuits for low leakage applications. IEEE Trans. Circuits Syst. II, 54, 6, 512--516.
    [39]
    }}Kosonocky, S. V., Immediato, M., Cottrell, P., and Hook, T. 2001. Enhanced multi-threshold (MTCMOS) circuits using variable well bias. In Proceedings of the International Symposium on Low Power Electronics and Design. 165--169.
    [40]
    }}Kozhaya, J. and Bakir, L. 2004. An electrically robust method for placing power gating switches in voltage islands. In Proceedings of the Custom Integrated Circuits Conference. 321--324.
    [41]
    }}Krishnamurthy, R. K., Alvandpour, A., De, V., and Borkar, S. 2002. High-performance and low-power challenges for sub-70nm microprocessor circuits. In Proceedings of the Custom Integrated Circuits Conference. 125--128.
    [42]
    }}Kumagai, K., Iwaki, H., Yoshida, H., Suzuki, H., Yamada, T., and Kurosawa, S. 1998. A novel powering-down scheme for low Vt CMOS circuits. In Proceedings of the Symposium on VLSI Circuits. 44--45.
    [43]
    }}Lackey, D. E., Zuchowski, P. S., Bednar, T. R., Stout, D. W., Gould, S. W., and Cohn, J. M. 2002. Managing power and performance for System-on-Chip designs using voltage islands. In Proceedings of the International Conference on Computer Aided Design. 195--202.
    [44]
    }}Long, C. and He, L. 2003. Distributed sleep transistor network for power reduction. In Proceedings of the Design Automation Conference. 181--186.
    [45]
    }}Lueftner, T., Berthold, J., Pocha, G., Georgatos, G., Sauzon, G., Hoemke, O., Beshenat, J., Mahrla, P., Just, K., Hober, P., Henzler, S., Schmitt-Landsiedel, D., Yakovleff, A., Klein, A., Knight, R. J., Acharya, P., Bonnardot, A., Buch, S., and Sauer, M. 2007. A 90-nm CMOS low-power GSM/EDGE multimedia-enhanced baseband processor with 380-MHz ARM926 core and mixed-signal extensions. IEEE J. Solid-State Circuits 42, 1, 134--144.
    [46]
    }}Magma. 2008. Talus Power Pro.
    [47]
    }}Mair, H., Wang, A., Gammie, G., Scott, D., Royannez, P., Gururajarao, S., Chau, M., Lagerquist, R., Ho, L., Basude, M., Culp, N., Sadate, A., Wilson, D., Dahan, F., Song, J., Carlson, B., and Ko, U. 2007. A 65-nm mobile multimedia applications processor with an adaptive power management scheme to compensate for variations. In Proceedings of the Symposium on VLSI Circuits. 224--225.
    [48]
    }}Min, K.-S., Kawaguchi, H., and Sakurai, T. 2003. Zigzag super cut-off CMOS (ZSCCMOS) block activation with self-adaptive voltage level controller: an alternative to clock-gating scheme in leakage dominant era. In Proceedings of the IEEE International Solid-State Circuits Conference. 400--401.
    [49]
    }}Mutoh, S., Douseki, T., Matsuya, Y., Aoki, T., Shigematsu, S., and Yamada, J. 1995. A 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS. IEEE J. Solid-State Circuits 30, 8, 847--854.
    [50]
    }}Mutoh, S., Shigematsu, S., Gotoh, Y., and Konaka, S. 1999. Design method of MTCMOS power switch for low-voltage high-speed LSIs. In Proceedings of the Asia South Pacific Design Automation Conference. 113--116.
    [51]
    }}Narenda, S., Antoniadis, D., and De, V. 1999. Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation. In Proceedings of the International Symposium on Low Power Electronics and Design. 229--232.
    [52]
    }}Narendra, S., Borkar, S., De, V., Antoniadis, D., and Chandrakasan, A. 2001. Scaling of stack effect and its application for leakage reduction. In Proceedings of the International Symposium on Low Power Electronics and Design. 195--200.
    [53]
    }}Narendra, S., Keshavarzi, A., Bloechel, B., Borkar, S., and De, V. 2003. Forward body bias for microprocessors in 130-nm technology generation and beyond. IEEE J. Solid-State Circuits 38, 5, 696--701.
    [54]
    }}Narendra, S. G. and Chandrakasan, A., Eds. 2005. Leakage in Nanometer CMOS Technologies. Springer.
    [55]
    }}Ohkubo, N. and Usami, K. 2006. Delay modeling and static timing analysis for MTCMOS circuits. In Proceedings of the Asia South Pacific Design Automation Conference. 570--575.
    [56]
    }}OpenCores. 2009. Opencores. http://www.opencores.org/.
    [57]
    }}Pakbaznia, E., Fallah, F., and Pedram, M. 2008. Charge recycling in power-gated CMOS circuits. IEEE Trans. Comput.-Aid. Design Integr. Circuits Syst. 27, 10, 1798--1811.
    [58]
    }}Pakbaznia, E. and Pedram, M. 2009. Design and application of multi-modal power-gating structures. In Proceedings of the International Symposium on Quality Electronic Design. 120--126.
    [59]
    }}Puri, R., Stok, L., Cohn, J., Kung, D., Pan, D., Sylvester, D., Srivastava, A., and Kulkarni, S. 2003. Pushing ASIC performance in a power envelope. In Proceedings of the Design Automation Conference. 788--793.
    [60]
    }}Qian, H., Nassif, S., and Sapatnekar, S. 2003. Random walks in a supply network. In Proceedings of the Design Automation Conference. 93--98.
    [61]
    }}Rao, R., Liu, F., Burns, J., and Brown, R. 2003. A heuristic to determine low leakage sleep state vectors for CMOS combinational circuits. In Proceedings of the International Conference on Computer Aided Design. 689--692.
    [62]
    }}Roy, K., Mukhopadhyay, S., and Mahmoodi-Meimand, H. 2003. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proc. IEEE 91, 2, 305--327.
    [63]
    }}Royannez, P., Mair, H., Dahan, F., Wagner, M., Streeter, M., Bouetel, L., Blasquez, J., Clasen, H., Semino, G., Dong, J., Scott, D., Pitts, B., Raibaut, C., and Ko, U. 2005. 90nm low leakage SoC design techniques for wireless applications. In Proceedings of the IEEE International Solid-State Circuits Conference. 138--139.
    [64]
    }}Rusu, S., Tam, S., Muljono, H., Ayers, D., Chang, J., Cherkauer, B., Stinson, J., Benoit, J., Varada, R., Leung, J., Limaye, R. D., and Vora, S. 2007. A 65-nm dual-core multithreaded Xeon processor with 16-MB L3 cache. IEEE J. Solid-State Circuits 42, 1, 17--25.
    [65]
    }}Sakurai, T. and Newton, A. 1990. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J. Solid-State Circuits 25, 2, 584--594.
    [66]
    }}Sathanur, A., Pullini, A., Benini, L., Macii, A., Macii, E., and Poncino, M. 2007. Timing-driven row-based power gating. In Proceedings of the International Symposium on Low Power Electronics and Design. 104--109.
    [67]
    }}Seomun, J., Kim, J., and Shin, Y. 2008. Skewed flip-flop and mixed-Vt gates for minimizing leakage in sequential circuits. IEEE Trans. Comput.-Aid. Design Integr. Circuits Syst. 27, 11, 1956--1968.
    [68]
    }}Seomun, J., Shin, I., and Shin, Y. 2010. Synthesis and implementation of active mode power gating circuits. In Proceedings of the Design Automation Conference.
    [69]
    }}Seomun, J. and Shin, Y. 2010. Design and optimization of power-gated circuits with autonomous data-retention. IEEE Trans. VLSI Syst. to appear.
    [70]
    }}Seta, K., Hara, H., Kuroda, T., Kakumu, M., and Sakurai, T. 1995. 50% active-power saving without speed degradation using standby power reduction (SPR) circuit. In Proceedings of the IEEE International Solid-State Circuits Conference. 318--319.
    [71]
    }}Shi, K. and Howard, D. 2006. Challenges in sleep transistor design and implementation in low-power designs. In Proceedings of the Design Automation Conference. 113--116.
    [72]
    }}Shigematsu, S., Mutoh, S., Matsuya, Y., Tanabe, Y., and Yamada, J. 1997. A 1-V high-speed MTCMOS circuit scheme for power-down application circuits. IEEE J. Solid-State Circuits 32, 6, 861--869.
    [73]
    }}Shimazaki, Y., Zlatanovici, R., and Nikolic, B. 2004. A shared-well dual-supply-voltage 64-bit ALU. IEEE J. Solid-State Circuits 39, 3, 494--500.
    [74]
    }}Shin, Y., Heo, S., Kim, H., and Choi, J. 2007. Supply switching with ground collapse: simultaneous control of subthreshold and gate leakage current in nanometer-scale CMOS circuits. IEEE Trans. VLSI Syst. 15, 7, 758--766.
    [75]
    }}Shin, Y., Paik, S., and Kim, H. 2009. Semicustom design of zigzag power-gated circuits in standard cell elements. IEEE Trans. Comput.-Aid. Design Inter. Circuits Syst. 28, 3, 327--339.
    [76]
    }}Synopsys. 2007. Astro User Guide.
    [77]
    }}Synopsys 2008. NanoSim User Guide.
    [78]
    }}Synopsys. 2010. IC Compiler Design Planning User Guide.
    [79]
    }}Tada, A., Notani, H., and Numa, M. 2006. A novel power gating scheme with charge recycling. IEICE Electron. Express 3, 12, 281--286.
    [80]
    }}Tschanz, J., Narendra, S., Ye, Y., Bloechel, B., Borkar, S., and De, V. 2003. Dynamic sleep transistor and body bias for active leakage power control of microprocessors. IEEE J. Solid-State Circuits 38, 11, 1838--1845.
    [81]
    }}TSMC. Reference Flow 7.0. http://www.tsmc.com/.
    [82]
    }}Usami, K. and Horowitz, M. 1995. Clustered voltage scaling technique for low-power design. In Proceedings of the International Symposium on Low Power Electronics and Design. 3--8.
    [83]
    }}Usami, K., Kawabe, N., Koizumi, M., Seta, K., and Furusawa, T. 2002. Automated selective multi-threshold design for ultra-low standby applications. In Proceedings of the International Symposium on Low Power Electronics and Design. 202--206.
    [84]
    }}Usami, K. and Yoshioka, H. 2004. A scheme to reduce active leakage power by detecting state transitions. In Proceedings of the International Midwest Symposium on Circuits and Systems. 493--496.
    [85]
    }}Valentian, A. and Beigne, E. 2008. Automatic gate biasing of an SCCMOS power switch achieving maximum leakage reduction and lowering leakage current variability. IEEE J. Solid-State Circuits 43, 7, 1688--1698.
    [86]
    }}Won, H.-S., Kim, K.-S., Jeong, K.-O., Park, K.-T., Choi, K.-M., and Kong, J.-T. 2003. An MTCMOS design methodology and its application to mobile computing. In Proceedings of the International Symposium on Low Power Electronics and Design. 110--115.
    [87]
    }}Ye, Y., Borkar, S., and De, V. 1998. A new technique for standby leakage reduction in high-performance circuits. In Proceedings of the Symposium on VLSI Circuits. 40--41.
    [88]
    }}Yuan, L. and Qu, G. 2005. Enhanced leakage reduction technique by gate replacement. In Proceedings of the Design Automation Conference. 47--50.
    [89]
    }}Zhao, M., Panda, R., Sapatnekar, S., and Blaauw, D. 2002. Hierarchical analysis of power distribution networks. IEEE Trans. Comput.-Aid. Design Integr. Circuits Syst. 21, 2, 159--168.
    [90]
    }}Zyuban, V. and Kosonocky, S. V. 2002. Low power integrated scan-retention mechanism. In Proceedings of the International Symposium on Low Power Electronics and Design. 98--102.

    Cited By

    View all
    • (2023)A Survey on Run-time Power Monitors at the EdgeACM Computing Surveys10.1145/359304455:14s(1-33)Online publication date: 18-Apr-2023
    • (2023)Improving Energy Efficiency of CGRAs with Low-Overhead Fine-Grained Power DomainsACM Transactions on Reconfigurable Technology and Systems10.1145/355839416:2(1-28)Online publication date: 2-Apr-2023
    • (2023)Case Study and Analysis to Improve Power Gating Feature in SoC2023 7th International Conference on Computing Methodologies and Communication (ICCMC)10.1109/ICCMC56507.2023.10083980(1429-1432)Online publication date: 23-Feb-2023
    • Show More Cited By

    Index Terms

    1. Power gating: Circuits, design methodologies, and best practice for standard-cell VLSI designs

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 15, Issue 4
        September 2010
        164 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/1835420
        Issue’s Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 07 October 2010
        Accepted: 01 April 2010
        Revised: 01 March 2010
        Received: 01 September 2009
        Published in TODAES Volume 15, Issue 4

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. Power gating
        2. VLSI
        3. design methodology
        4. leakage current
        5. low power
        6. standard-cell

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)195
        • Downloads (Last 6 weeks)14
        Reflects downloads up to 26 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)A Survey on Run-time Power Monitors at the EdgeACM Computing Surveys10.1145/359304455:14s(1-33)Online publication date: 18-Apr-2023
        • (2023)Improving Energy Efficiency of CGRAs with Low-Overhead Fine-Grained Power DomainsACM Transactions on Reconfigurable Technology and Systems10.1145/355839416:2(1-28)Online publication date: 2-Apr-2023
        • (2023)Case Study and Analysis to Improve Power Gating Feature in SoC2023 7th International Conference on Computing Methodologies and Communication (ICCMC)10.1109/ICCMC56507.2023.10083980(1429-1432)Online publication date: 23-Feb-2023
        • (2022)An overview of low power hardware architecture for edge computing devices5G IoT and Edge Computing for Smart Healthcare10.1016/B978-0-323-90548-0.00004-8(89-109)Online publication date: 2022
        • (2021)Logic Synthesis Strategy Oriented to Low Power OptimizationApplied Sciences10.3390/app1119879711:19(8797)Online publication date: 22-Sep-2021
        • (2021)Area efficient camouflaging technique for securing IC reverse engineeringPLOS ONE10.1371/journal.pone.025767916:11(e0257679)Online publication date: 4-Nov-2021
        • (2021)Allocation of Always-On State Retention Storage for Power Gated Circuits—Steady-State- Driven ApproachIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.304705629:3(499-511)Online publication date: Mar-2021
        • (2021)Allocation of Multibit Retention Flip-Flops for Power Gated Circuits: Algorithm-Design Unified ApproachIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.301324340:5(892-903)Online publication date: May-2021
        • (2021)Low-Power Deep-Submicron CMOS Adder Using Optimized Delay Universal GatesAdvances in Automation, Signal Processing, Instrumentation, and Control10.1007/978-981-15-8221-9_47(531-549)Online publication date: 5-Mar-2021
        • (2020)Hybrid Non-Volatile Flip-Flops Using Spin-Orbit-Torque (SOT) Magnetic Tunnel Junction Devices for High Integration and Low Energy Power-Gating ApplicationsElectronics10.3390/electronics90914069:9(1406)Online publication date: 1-Sep-2020
        • Show More Cited By

        View Options

        Get Access

        Login options

        Full Access

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media