Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

ECR: A Powerful and Low-Complexity Error Cancellation Rewiring Scheme

Published: 01 October 2012 Publication History

Abstract

Rewiring is known to be a class of logic restructuring technique that is at least equally powerful in flexibility compared to other logic transformation techniques. Especially it is wiring sensitive and is particularly useful for interconnect-based circuit synthesis processes. One of the most well-studied rewiring techniques is the ATPG-based Redundancy Addition and Removal (RAR) technique which adds a redundant alternative wire to make an originally irredundant target wire become redundant and thus removable. In this article, we propose a new Error-Cancellation-based Rewiring scheme (ECR) which can also identify non-RAR-based rewiring operations with high efficiency. In ECR scheme, it is not necessary for alternative wires to be redundant. Based on the notion of error cancellation, we analyze and reformulate the rewiring problem, and a more generalized rewiring scheme is developed to detect more rewiring cases which are not obtainable by existing schemes while it still maintains a low runtime complexity. Comparing with the most recent non-RAR rewiring tool IRRA, the total number of alternative wires found by our approach is about doubled (202%) while the CPU time used is just slightly more (8%) upon benchmarks preoptimized by ABC’s rewriting. Our experimental results also suggest that the ECR engine is more powerful than IRRA in FPGA technology mapping.

References

[1]
Becker, B., Hahn, R., and Sparmann, U. 1991. Structure based methods for parallel pattern fault simulation in combinational circuits. In Proceedings of the European Conference on Design Automation. IEEE, 497--502.
[2]
Chan, D. and Cong, J. 2004. Daomap: A depth-optimal area optimization mapping algorithm for fpga designs. In Proceedings of the International Conference on Computer-Aided Design (ICCAD’04).
[3]
Chang, C. W. J. and Marek-Sadowska, M. 2001a. Single-Pass redundancy addition and removal. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. IEEE Press, 609.
[4]
Chang, C. W. J. and Marek-Sadowska, M. 2001b. Who are the alternative wires in our neighborhood? (Alternative wires identification without search). In Proceedings of the 11th Great Lakes Symposium on VLSI. ACM, New York, 103--108.
[5]
Chang, C. W. J. and Marek-Sadowska, M. 2007. Theory of wire addition and removal in combinational Boolean networks. Microelectron. Engin. 84, 2, 229--243.
[6]
Chang, S. C., Cheng, K. T., Woo, N. S., and Marek-Sadowska, M. 1994. Layout driven logic synthesis for fpgas. In Proceedings of the 31st Conference on Design Automation. 308--313.
[7]
Chang, S. C., Marek-Sadowska, M., and Cheng, K. T. 1996. Perturb and simplify: Multilevel Boolean network optimizer. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 15, 1494--1504.
[8]
Chang, S. C., van Ginneken, L., and Marek-Sadowska, M. 1999. Circuit optimization by rewiring. IEEE Trans. Comput. 48, 9, 962--970.
[9]
Chen, Y.-C. and Wang, C.-Y. 2009. Fast detection of node mergers using logic implications. In Proceedings of the International Conference on Computer-Aided Design. ACM, New York, 785--788.
[10]
Chen, Y.-C. and Wang, C.-Y. 2010. Node addition and removal in the presence of don’t cares. In Proceedings of the 47th Design Automation Conference. ACM, New York, 505--510.
[11]
Cheng, D. I., Lin, C., and Marek-Sadowska, M. 1995. Circuit partitioning with logic perturbation. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. IEEE Computer Society, 650--655.
[12]
Cheng, K. T. and Entrena, L. A. 1993. Multi-Level logic optimization by redundancy addition and removal. In Proceedings of the 4th European Conference on Design Automation with the European Event in ASIC Design. 373--377.
[13]
Chim, F. S., Lam, T. K., and Wu, Y. L. 2009. On improved scheme for digital circuit rewiring and application on further improving fpga technology mapping. In Proceedings of the Asia and South Pacific Design Automation Conference. 197--202.
[14]
Cong, J., Lin, J. Y., and Long, W. 2002. A new enhanced spfd rewiring algorithm. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. ACM, New York, 672--678.
[15]
Damiani, M. and de Micheli, G. 1990. Observability don’t care sets and Boolean relations. In IEEE International Conference on Computer-Aided Design Digest of Technical Papers. 502--505.
[16]
Entrena, L. A. and Cheng, K. T. 1995. Combinational and sequential logic optimization by redundancy addition and removal. IEEE Trans. Comput. Aid. Des. Integr. Circ. Syst. 14, 7, 909--916.
[17]
Iyer, M. A. and Abramovici, M. 1996. FIRE: A fault-independent combinational redundancy identification algorithm. IEEE Trans. Very Large Scale Integr. Syst. 4, 2, 295--301.
[18]
Jiang, M., Tang, W. C., Young, E. F. Y., and Wu, Y. L. 2009. Rewired retiming for free flip-flop reductions without delay penalty. In Proceedings of the VLSI Design Automation and Test Conference. 174--177.
[19]
Kirkland, T. and Mercer, M. R. 1987. A topological search algorithm for atpg. In Proceedings of the 24th Conference on Design Automation. 502--508.
[20]
Kunz, W. and Pradhan, D. 1992. Recursive learning: An attractive alternative to the decision tree for test generation in digital circuits. In Proceedings of the International Test Conference. 816--825.
[21]
Lin, C. C. and Wang, C.-Y. 2009. Rewiring using irredundancy removal and addition. In Proceedings of the Design Automation and Test in Europe Conference. 324--327.
[22]
Mishchenko, A., Chatterjee, S., and Brayton, R. 2006. Dag-Aware aig rewriting a fresh look at combinational logic synthesis. In Proceedings of the 43rd Annual Design Automation Conference. ACM, New York, 532--535.
[23]
Muroga, S., Kambayashi, Y., Lai, H. C., and Culliney, J. N. 1989. The transduction method: Design of logic networks based on permissible functions. IEEE Trans. Comput. 38, 1404--1424.
[24]
Plaza, S. M., Chang, K.-H., Markov, I. L., and Bertacco, V. 2007. Node mergers in the presence of don’t cares. In Proceedings of the Asia and South Pacific Design Automation Conference. IEEE Computer Society, 414--419.
[25]
Sinha, S. and Brayton, R. K. 1998. Implementation and use of spfds in optimizing Boolean networks. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. ACM, New York, 110.
[26]
Tafertshofer, P., Ganz, A., and Antreich, K. 2000. Igraine: An implication graph-based engine for fast implication, justification and propagation. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 19, 8, 907--927.
[27]
Tang, W.-C., Lo, W.-H., and Wu, Y.-L. 2007. Further improve excellent graph-based fpga technology mapping by rewiring. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’07). IEEE, 1049--1052.
[28]
Wu, C. A., Lin, T. H., Huang, S. L., and Huang, C. Y. 2009. SAT-Controlled redundancy addition and removal: A novel circuit restructuring technique. In Proceedings of the Asia and South Pacific Design Automation Conference. 191--196.
[29]
Wu, Y. L., Cheung, C.-C., Cheng, D. I., and Fan, H. 2003. Further improve circuit partitioning using gbaw logic perturbation techniques. IEEE Trans. Very Large Scale Integr. Syst. 11, 3, 451--460.
[30]
Zhou, C. L., Tang, W. C., Lo, W. H., and Wu, Y. L. 2007. How much can logic perturbation help from netlist to final routing for fpgas. In Proceedings of the 44th IEEE/ACM Design Automation Conference. 922--927.
[31]
Zhu, Q., Kitchen, N., Kuehlmann, A., and Sangiovanni-Vincentelli, A. 2006. Sat sweeping with local observability don’t cares. In Proceedings of the 43rd Annual Design Automation Conference. ACM, New York, 229--234.

Cited By

View all
  • (2016)Delete‐First Rewiring TechniquesBoolean Circuit Rewiring10.1002/9781118750124.ch4(67-132)Online publication date: 8-Jan-2016
  • (2016)Concept of Logic RewiringBoolean Circuit Rewiring10.1002/9781118750124.ch2(11-36)Online publication date: 8-Jan-2016
  • (2014)Delete and Correct (DaC)Proceedings of the 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems10.1109/VLSID.2014.71(375-380)Online publication date: 5-Jan-2014

Index Terms

  1. ECR: A Powerful and Low-Complexity Error Cancellation Rewiring Scheme

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 17, Issue 4
    October 2012
    347 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2348839
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 01 October 2012
    Accepted: 01 May 2012
    Revised: 01 March 2012
    Received: 01 August 2011
    Published in TODAES Volume 17, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. ATPG
    2. Rewiring
    3. error cancellation

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    • Hong Kong

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 15 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2016)Delete‐First Rewiring TechniquesBoolean Circuit Rewiring10.1002/9781118750124.ch4(67-132)Online publication date: 8-Jan-2016
    • (2016)Concept of Logic RewiringBoolean Circuit Rewiring10.1002/9781118750124.ch2(11-36)Online publication date: 8-Jan-2016
    • (2014)Delete and Correct (DaC)Proceedings of the 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems10.1109/VLSID.2014.71(375-380)Online publication date: 5-Jan-2014

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media