Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Public Access

Efficient Cache Reconfiguration Using Machine Learning in NoC-Based Many-Core CMPs

Published: 09 September 2019 Publication History

Abstract

Dynamic cache reconfiguration (DCR) is an effective technique to optimize energy consumption in many-core architectures. While early work on DCR has shown promising energy saving opportunities, prior techniques are not suitable for many-core architectures since they do not consider the interactions and tight coupling between memory, caches, and network-on-chip (NoC) traffic. In this article, we propose an efficient cache reconfiguration framework in NoC-based many-core architectures. The proposed work makes three major contributions. First, we model a distributed directory based many-core architecture similar to Intel Xeon Phi architecture. Next, we propose an efficient cache reconfiguration framework that considers all significant components, including NoC, caches, and main memory. Finally, we propose a machine learning--based framework that can reduce the exploration time by an order of magnitude with negligible loss in accuracy. Our experimental results demonstrate 18.5% energy savings on average compared to base cache configuration.

References

[1]
Niket Agarwal, Tushar Krishna, Li-Shiuan Peh, and Niraj K. Jha. 2009. GARNET: A detailed on-chip network model inside a full-system simulator. In IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS’09) IEEE, 33--42.
[2]
Alif Ahmed, Yuanwen Huang, and Prabhat Mishra. 2019. Cache reconfiguration using machine learning for vulnerability-aware energy optimization. ACM Transactions on Embedded Computing Systems (TECS) 18, 2 (2019), 15.
[3]
Shane Bell, Bruce Edwards, John Amann, Rich Conlin, Kevin Joyce, Vince Leung, John MacKay, Mike Reif, Liewei Bao, John Brown, et al. 2008. Tile64-processor: A 64-core SoC with mesh interconnect. In 2008 IEEE International Solid-State Circuits Conference-Digest of Technical Papers. IEEE, 88--598.
[4]
Shubha Bhat. 2005. Energy Models for Network-on-Chip Components. Master of Science, Department of Mathematics and Computer Science, Technische Universiteit Eindhoven, Eindhoven.
[5]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, et al. 2011. The gem5 simulator. ACM SIGARCH Computer Architecture News 39, 2 (2011), 1--7.
[6]
Subodha Charles, Hadi Hajimiri, and Prabhat Mishra. 2018. Proactive thermal management using memory-based computing in multicore architectures. In 2018 9th International Green and Sustainable Computing Conference (IGSC’18). IEEE, 1--8.
[7]
Subodha Charles, Yangdi Lyu, and Prabhat Mishra. 2019. Real-time detection and localization of DoS attacks in NoC based SoCs. In 2019 Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’19). IEEE, 1160--1165.
[8]
Subodha Charles, Chetan Arvind Patil, Umit Y. Ogras, and Prabhat Mishra. 2018. Exploration of memory and cluster modes in directory-based many-core CMPs. In 2018 12th IEEE/ACM International Symposium on Networks-on-Chip (NOCS’18). IEEE, 1--8.
[9]
Chao Chen, José L. Abellán, and Ajay Joshi. 2015. Managing laser power in silicon-photonic NoC through cache and NoC reconfiguration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 34, 6 (2015), 972--985.
[10]
Benoît Dupont de Dinechin, Pierre Guironnet de Massas, Guillaume Lager, Clément Léger, Benjamin Orgogozo, Jérôme Reybert, and Thierry Strudel. 2013. A distributed run-time environment for the kalray mppa®-256 integrated manycore processor. Procedia Computer Science 18 (2013), 1654--1663.
[11]
Matthew R. Guthaus, Jeffrey S. Ringenberg, Dan Ernst, Todd M. Austin, Trevor Mudge, and Richard B. Brown. 2001. MiBench: A free, commercially representative embedded benchmark suite. In IEEE International Workshop on Workload Characterization (WWC-4’01). IEEE, 3--14.
[12]
Hadi Hajimiri, Kamran Rahmani, and Prabhat Mishra. 2012. Compression-aware dynamic cache reconfiguration for embedded systems. Sustainable Computing: Informatics and Systems 2, 2 (2012), 71--80.
[13]
Po-Yang Hsu and TingTing Hwang. 2013. Thread-criticality aware dynamic cache reconfiguration in multi-core system. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD’13). IEEE, 413--420.
[14]
Yuanwen Huang and Prabhat Mishra. 2016. Reliability and energy-aware cache reconfiguration for embedded systems. In 2016 17th International Symposium on Quality Electronic Design (ISQED’16). IEEE, 313--318.
[15]
Yuanwen Huang and Prabhat Mishra. 2017. Vulnerability-aware energy optimization using reconfigurable caches in multicore systems. In IEEE International Conference on Computer Design (ICCD’17). IEEE, 241--248.
[16]
Intel. 2016. Intel Xeon Phi Processor 7210. Retrieved on February 2, 2017 from https://www.intel.com/content/www/us/en/products/processors/xeon-phi/xeon-phi-processors/7210.html.
[17]
ITRS. 2015. International Technology Roadmap for Semiconductors (ITRS). Retrieved on June 23, 2018 from http://www.semiconductors.org/main/2015_international_technology_roadmap_for_semiconductors_itrs.
[18]
Dimitris Kaseridis, Jeffrey Stuecheli, and Lizy K. John. 2009. Bank-aware dynamic cache partitioning for multicore architectures. In International Conference on Parallel Processing (ICPP’09). IEEE, 18--25.
[19]
Jae W. Lee, Man Cheuk Ng, and Krste Asanovic. 2008. Globally-synchronized frames for guaranteed quality-of-service in on-chip networks. In ACM SIGARCH Computer Architecture News, Vol. 36. IEEE Computer Society, 89--100.
[20]
Sheng Li, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, and Norman P. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 469--480.
[21]
Afzal Malik, Bill Moyer, and Dan Cermak. 2000. A low power unified cache architecture providing power and performance flexibility (poster session). In Proceedings of the 2000 International Symposium on Low Power Electronics and Design (ISLPED’00). ACM, 241--243.
[22]
Sorin Manolache, Petru Eles, and Zebo Peng. 2008. Task mapping and priority assignment for soft real-time applications under deadline miss ratio constraints. ACM Transactions on Embedded Computing Systems (TECS) 7, 2 (2008), 19.
[23]
Asit K. Mishra. 2011. Design and Analysis of Heterogeneous Networks for Chip-multiprocessors. The Pennsylvania State University.
[24]
Mehdi Modarressi, Shaahin Hessabi, and Maziar Goudarzi. 2006. A reconfigurable cache architecture for object-oriented embedded systems. In Canadian Conference on Electrical and Computer Engineering (CCECE’06). IEEE, 959--962.
[25]
Umit Y. Ogras and Radu Marculescu. 2013. Modeling, Analysis and Optimization of Network-on-Chip Communication Architectures. Vol. 184. Springer Science 8 Business Media.
[26]
Umit Y. Ogras, Radu Marculescu, Diana Marculescu, and Eun Gu Jung. 2009. Design and management of voltage-frequency island partitioned networks-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17, 3 (2009), 330--341.
[27]
Rakesh Reddy and Peter Petrov. 2010. Cache partitioning for energy-efficient and interference-free embedded multitasking. ACM Transactions on Embedded Computing Systems (TECS) 9, 3 (2010), 16.
[28]
Matthew Schuchhardt, Abhishek Das, Nikos Hardavellas, Gokhan Memik, and Alok Choudhary. 2013. The impact of dynamic directories on multicore interconnects. Computer 46, 10 (2013), 32--39.
[29]
Alex Settle, Dan Connors, Enric Gibert, and Antonio González. 2006. A dynamically reconfigurable cache for multithreaded processors. Journal of Embedded Computing 2, 2 (2006), 221--233.
[30]
Avinash Sodani, Roger Gramunt, Jesus Corbal, Ho-Seop Kim, Krishna Vinod, Sundaram Chinthamani, Steven Hutsell, Rajat Agarwal, and Yen-Chen Liu. 2016. Knights landing: Second-generation intel xeon phi product. IEEE MICRO 36, 2 (2016), 34--46.
[31]
Ching-Long Su and Alvin M. Despain. 1995. Cache designs for energy efficiency. In Proceedings of the 28th Hawaii International Conference on System Sciences, 1995, Vol. 1. IEEE, 306--315.
[32]
Weixun Wang and Prabhat Mishra. 2009. Dynamic reconfiguration of two-level caches in soft real-time embedded systems. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI’09). IEEE, 145--150.
[33]
Weixun Wang and Prabhat Mishra. 2011. System-wide leakage-aware energy minimization using dynamic voltage scaling and cache reconfiguration in multitasking systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20, 5 (2011), 902--910.
[34]
Weixun Wang, Prabhat Mishra, and Ann Gordon-Ross. 2012. Dynamic cache reconfiguration for soft real-time systems. ACM Transactions on Embedded Computing Systems (TECS) 11, 2 (2012), 28.
[35]
Weixun Wang, Prabhat Mishra, and Sanjay Ranka. 2011. Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems. In 48th ACM/EDAC/IEEE Design Automation Conference (DAC’11). IEEE, 948--953.
[36]
Steven Cameron Woo, Moriyoshi Ohara, Evan Torrie, Jaswinder Pal Singh, and Anoop Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In ACM SIGARCH Computer Architecture News, Vol. 23. ACM, 24--36.
[37]
Thomas Canhao Xu, Pasi Liljeberg, and Hannu Tenhunen. 2011. Optimal memory controller placement for chip multiprocessor. In Proceedings of the 7th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. ACM, 217--226.
[38]
Terry Tao Ye, Giovanni De Micheli, and Luca Benini. 2002. Analysis of power consumption on switch fabrics in network routers. In Proceedings of the 39th Annual Design Automation Conference (DAC’02). ACM, 524--529.
[39]
Chuanjun Zhang, Frank Vahid, and Roman Lysecky. 2004. A self-tuning cache architecture for embedded systems. ACM Transactions on Embedded Computing Systems (TECS) 3, 2 (2004), 407--425.
[40]
Chuanjun Zhang, Frank Vahid, and Walid Najjar. 2005. A highly configurable cache for low energy embedded systems. ACM Transactions on Embedded Computing Systems (TECS) 4, 2 (2005), 363--387.
[41]
Ujjwal Gupta, Chetan Arvind Patil, Ganapati Bhat, Prabhat Mishra, and Umit Y. Ogras. 2017. Dypo: Dynamic pareto-optimal configuration selection for heterogeneous mpsocs. ACM Transactions on Embedded Computing Systems (TECS) 16, 5s (2017), 123.

Cited By

View all
  • (2021)Decision Tree-Based Adaptive Reconfigurable Cache SchemeAlgorithms10.3390/a1406017614:6(176)Online publication date: 1-Jun-2021
  • (2021)A Survey of Network-on-Chip Security Attacks and CountermeasuresACM Computing Surveys10.1145/345096454:5(1-36)Online publication date: 25-May-2021
  • (2021)A Physical-Aware Framework for Memory Network Design Space ExplorationProceedings of the 26th Asia and South Pacific Design Automation Conference10.1145/3394885.3431636(865-871)Online publication date: 18-Jan-2021
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 24, Issue 6
November 2019
275 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/3357467
  • Editor:
  • Naehyuck Chang
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 09 September 2019
Accepted: 01 July 2019
Revised: 01 July 2019
Received: 01 January 2019
Published in TODAES Volume 24, Issue 6

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Cache reconfiguration
  2. machine learning

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)268
  • Downloads (Last 6 weeks)49
Reflects downloads up to 13 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2021)Decision Tree-Based Adaptive Reconfigurable Cache SchemeAlgorithms10.3390/a1406017614:6(176)Online publication date: 1-Jun-2021
  • (2021)A Survey of Network-on-Chip Security Attacks and CountermeasuresACM Computing Surveys10.1145/345096454:5(1-36)Online publication date: 25-May-2021
  • (2021)A Physical-Aware Framework for Memory Network Design Space ExplorationProceedings of the 26th Asia and South Pacific Design Automation Conference10.1145/3394885.3431636(865-871)Online publication date: 18-Jan-2021
  • (2021)Accelerating Spectral Normalization for Enhancing Robustness of Deep Neural Networks2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI51109.2021.00055(260-265)Online publication date: Jul-2021
  • (2021)Energy Optimization by Using Last Level Cache Partitioning for Multicore Platforms2021 16th International Conference on Computer Engineering and Systems (ICCES)10.1109/ICCES54031.2021.9686175(1-6)Online publication date: 15-Dec-2021
  • (2021)Securing on-Chip Communication Using Digital WatermarkingNetwork-on-Chip Security and Privacy10.1007/978-3-030-69131-8_9(219-252)Online publication date: 22-Jan-2021
  • (2021)Real-Time Detection and Localization of DoS AttacksNetwork-on-Chip Security and Privacy10.1007/978-3-030-69131-8_8(183-217)Online publication date: 22-Jan-2021
  • (2021)Lightweight Anonymous Routing for On-chip InterconnectsNetwork-on-Chip Security and Privacy10.1007/978-3-030-69131-8_6(123-151)Online publication date: 22-Jan-2021
  • (2021)Trust-Aware Routing in NoC-Based SoCsNetwork-on-Chip Security and Privacy10.1007/978-3-030-69131-8_5(101-121)Online publication date: 22-Jan-2021
  • (2021)Lightweight Encryption Using Incremental CryptographyNetwork-on-Chip Security and Privacy10.1007/978-3-030-69131-8_4(79-99)Online publication date: 22-Jan-2021
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Get Access

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media