Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Routability Optimization of Extreme Aspect Ratio Design through Non-uniform Placement Utilization and Selective Flip-flop Stacking

Published: 17 May 2023 Publication History

Abstract

Circuits that are placed with very low (or high) aspect ratio are susceptible to routing overflows. Such designs are difficult to close and usually end up with larger area with low area utilization. In this article, we propose two routability optimization methods to implement designs even with very low (or high) aspect ratio and high area utilization. First, we find the best assignment of non-uniform placement utilization through convolutional neural network model, and cell placement is performed while respecting the placement utilization. This allows many cells to be spread out over the entire design rather than being centered. The experiments show that most overflows of 16.5% occurring in cell placement are removed with 23.1% reduction in wire length; this is the result of further improving overflow of 9.8% compared to a conventional method. In the second, some flip-flops are selectively stacked to reduce the routing resources used for clock routing. U-Net model is built with graph attention network to predict the congestion after clock-tree synthesis, and the flip-flops in highly congested areas are selected for stacking. The proposed method improves the overflows, which occurs after clock-tree synthesis, by 22.1%.

References

[1]
David J. R. Cristaldi, Salvatore Pennisi, and Francesco Pulvirenti. 2009. Liquid Crystal Display Drivers: Techniques and Circuits (1st ed.). Springer.
[2]
Hyoung-Rae Kim et al. 2010. A mobile-display-driver IC embedding a capacitive-touch-screen controller system. In Proceedings of the IEEE International Solid-State Circuits Conference.114–115.
[3]
Soo-Min Lee et al. 2017. 23.6 A 0.6 V 4.266 Gb/s/pin LPDDR4X interface with auto-DQS cleaning and write-VWM training for memory controller. In Proceedings of the IEEE International Solid-State Circuits Conference.398–399.
[4]
Synopsys 2019. IC Compiler User Guide. Synopsys.
[5]
Xiaojian Yang, R. Kastner, and M. Sarrafzadeh. 2002. Congestion estimation during top-down placement. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 21, 1 (2002), 72–80.
[6]
Jurjen Westra, Chris Bartels, and Patrick Groeneveld. 2004. Probabilistic congestion prediction. In Proceedings of the International Symposium on Physical Design. 204–209.
[7]
U. Brenner and A. Rohe. 2003. An effective congestion-driven placement framework. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 22, 4 (2003), 387–394.
[8]
Xiaojian Yang, Maogang Wang, Kenneth Eguro, and Majid Sarrafzadeh. 2000. A snap-on placement tool. In Proceedings of the International Symposium on Physical Design.153–158.
[9]
P. N. Parakh, R. B. Brown, and K. A. Sakallah. 1998. Congestion driven quadratic placement. In Proceedings of the Design Automation Conference.275–278.
[10]
Xu He et al. 2013. Ripple 2.0: High quality routability-driven placement via global router integration. In Proceedings of the Design Automation Conference. 1–6.
[11]
Tao Lin and Chris Chu. 2014. POLAR 2.0: An effective routability-driven placer. In Proceedings of the Design Automation Conference.1–6.
[12]
Myung-Chul Kim, Jin Hu, Dong-Jin Lee, and Igor L. Markov. 2011. A SimPLR method for routability-driven placement. In Proceedings of the International Conference on Computer Aided Design.67–73.
[13]
Chung-Kuan Cheng, Andrew B. Kahng, Ilgweon Kang, and Lutong Wang. 2019. RePlAce: Advancing solution quality and routability validation in global placement. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 38, 9 (2019), 1717–1730.
[14]
Jai-Ming Lin, Chung-Wei Huang, Liang-Chi Zane, Min-Chia Tsai, Che-Li Lin, and Chen-Fa Tsai. 2021. Routability-driven global placer target on removing global and local congestion for VLSI designs. In Proceedings of the International Conference on Computer Aided Design.1–8.
[15]
Xiaojian Yang, Ryan Kastner, and Majid Sarrafzadeh. 2001. Congestion reduction during placement based on integer programming. In Proceedings of the International Conference on Computer Aided Design.573–576.
[16]
A. E. Caldwell, A. B. Kahng, and I. L. Markov. 2003. Hierarchical whitespace allocation in top-down placement. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 22, 11 (2003), 1550–1556.
[17]
Chen Li, Min Xie, Cheng-Kok Koh, Jason Cong, and Patrick H. Madden. 2007. Routability-driven placement and white space allocation. IEEE Trans. on Comput.-Aided Des. Integr. Circ. Syst. 26, 5 (2007), 858–871.
[18]
Tao-Chun Yu et al. 2021. Pin accessibility prediction and optimization with deep-learning-based pin pattern recognition. IEEE Trans. on Comput.-Aided Des. Integr. Circ. Syst. 40, 11 (2021), 2345–2356.
[19]
Dongyoun Yi and Taewhan Kim. 2016. Allocation of multi-bit flip-flops in logic synthesis for power optimization. In Proceedings of the International Conference on Computer Aided Design. 1–6.
[20]
Yao-Tsung Chang, Chih-Cheng Hsu, Mark Po-Hung Lin, Yu-Wen Tsai, and Sheng-Fong Chen. 2010. Post-placement power optimization with multi-bit flip-flops. In Proceedings of the International Conference on Comput. Aided Design.218–223.
[21]
Shao-Huan Wang, Yu-Yi Liang, Tien-Yu Kuo, and Wai-Kei Mak. 2011. Power-driven flip-flop merging and relocation. In Proceedings of the International Symposium on Physical Design.107–114.
[22]
Sean Shih-Ying Liu, Wan-Ting Lo, Chieh-Jui Lee, and Hung-Ming Chen. 2013. Agglomerative-based flip-flop merging and relocation for signal wirelength and clock-tree optimization. ACM Trans. on Design Automation of Electronic Systems 18, 3 (2013), 1–20.
[23]
Andrew B. Kahng, Jiajia Li, and Lutong Wang. 2016. Improved flop tray-based design implementation for power reduction. In Proceedings of the International Conference on Computer Aided Design.1–8.
[24]
Taehee Lee, David Z. Pan, and Joon-Sung Yang. 2018. Clock network optimization with multibit flip-flop generation considering multicorner multimode timing constraint. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 37, 1 (2018), 245–256.
[25]
Chiu-Wing Sham and E. F. Y. Young. 2003. Routability-driven floorplanner with buffer block planning. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 22, 4 (2003), 470–480.
[26]
Chiu-Wing Sham, Wai-Chiu Wong, and E. F. Y. Young. 2002. Congestion estimation with buffer planning in floorplan design. In Proceedings of the Design, Automation and Test in Europe Conference.696–701.
[27]
Yuchun Ma et al. 2003. Dynamic global buffer planning optimization based on detail block locating and congestion analysis. In Proceedings of the Design Automation Conference.806–811.
[28]
Song Chen et al. 2004. A buffer planning algorithm with congestion optimization. In Proceedings of the Asia South Pacific Design Automation Conference.615–620.
[29]
N. Srivastava, G. Hinton, A. Krizhevsky, I. Sutskever, and R. Salakhutdinov. 2014. Dropout: A simple way to prevent neural networks from overfitting. J. Mach. Learn. Res. 15, 56 (2014), 1929–1958.
[30]
Martin Ester, Hans-Peter Kriegel, Jörg Sander, and Xiaowei Xu. 1996. A density-based algorithm for discovering clusters in large spatial databases with noise. In Proceedings of the International Conference on Knowledge Discovery and Data Mining, Vol. 96. 226–231.
[31]
James MacQueen et al. 1967. Some methods for classification and analysis of multivariate observations. In Proceedings of the Berkeley Symposium on Mathematical Statistics and Probability, Vol. 1. 281–297.
[32]
Tsung-Yi Lin, Priya Goyal, Ross Girshick, Kaiming He, and Piotr Dollár. 2017. Focal loss for dense object detection. In Proceedings of the International Conference on Computer Vision. 2980–2988.
[33]
ITC99. ([n. d.]). Retrieved from http://www.cerc.utexas.edu/itc99-benchmarks/bench.html. Accessed: May 21, 2021.
[34]
OpenCores. ([n. d.]). Retrieved from http://www.opencores.org. Accessed: May 21, 2021.
[35]
Synopsys 2015. Design Compiler User Guide. Synopsys.
[36]
Martín Abadi et al. 2016. TensorFlow: A system for large-scale machine learning. In Proceedings of the USENIX Symposium on Operation Systems Design and Implementation.265–283.
[37]
Diederik P. Kingma and Jimmy Ba. 2014. Adam: A method for stochastic optimization. Retrieved from https://arXiv:1412.6980.
[38]
Adam Paszke et al. 2019. Pytorch: An imperative style, high-performance deep learning library. In Proceedings of the Conference on Advances In Neural Information Processing Systems.1–12.

Index Terms

  1. Routability Optimization of Extreme Aspect Ratio Design through Non-uniform Placement Utilization and Selective Flip-flop Stacking

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 4
    July 2023
    432 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/3597460
    Issue’s Table of Contents

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 17 May 2023
    Online AM: 27 February 2023
    Accepted: 12 November 2022
    Revised: 31 October 2022
    Received: 16 April 2022
    Published in TODAES Volume 28, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Extreme aspect ratio design
    2. routability optimization
    3. placement utilization
    4. flip-flop stacking
    5. convolutional neural network
    6. U-Net
    7. graph attention network

    Qualifiers

    • Research-article

    Funding Sources

    • Institute of Information and communications Technology Planning and Evaluation (IITP)
    • Korea Government (MSIT) through Software Systems for AI Semiconductor Design
    • Korea Institute for Advancement of Technology (KIAT)
    • Korea Government (MOTIE)
    • National Research Foundation of Korea (NRF) of MSIT
    • IC Design Education Center (IDEC), Korea

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 467
      Total Downloads
    • Downloads (Last 12 months)206
    • Downloads (Last 6 weeks)27
    Reflects downloads up to 03 Oct 2024

    Other Metrics

    Citations

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    Full Text

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media