Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
survey

Security of Electrical, Optical, and Wireless On-chip Interconnects: A Survey

Published: 14 February 2024 Publication History
  • Get Citation Alerts
  • Abstract

    The advancement of manufacturing technologies has enabled the integration of more intellectual property (IP) cores on the same system-on-chip (SoC). Scalable and high throughput on-chip communication architecture has become a vital component in today’s SoCs. Diverse technologies such as electrical, wireless, optical, and hybrid are available for on-chip communication with different architectures supporting them. On-chip communication sub-system is shared across all the IPs and continuously used throughout the lifetime of the SoC. Therefore, the security of the on-chip communication is crucial, because exploiting any vulnerability would be a goldmine for an attacker. In this survey, we provide a comprehensive review of threat models, attacks, and countermeasures over diverse on-chip communication technologies as well as sophisticated architectures.

    References

    [1]
    Statista. 2016. Number of IoT devices 2015–2025. Retrieved from https://www.statista.com/statistics/471264/iot-number-of-connected-devices-worldwide/
    [2]
    M. Meraj Ahmed, Abhishek Vashist, Sai Manoj Pudukotai Dinakarrao, and Amlan Ganguly. 2020. Architecting a secure wireless interconnect for multichip communication: An ML approach. In Asian Hardware Oriented Security and Trust Symposium (AsianHOST’20). IEEE, 1–6.
    [3]
    M. Meraj Ahmed, Abhijitt Dhavlle, Naseef Mansoor, Sai Manoj Pudukotai Dinakarrao, Kanad Basu, and Amlan Ganguly. 2021. What can a remote access hardware trojan do to a network-on-chip? In IEEE International Symposium on Circuits and Systems (ISCAS’21). IEEE, 1–5.
    [4]
    M. Meraj Ahmed, Abhijitt Dhavlle, Naseef Mansoor, Purab Sutradhar, Sai Manoj Pudukotai Dinakarrao, Kanad Basu, and Amlan Ganguly. 2020. Defense against on-chip trojans enabling traffic analysis attacks. In Asian Hardware Oriented Security and Trust Symposium (AsianHOST’20). IEEE, 1–6.
    [5]
    M. Meraj Ahmed, Amlan Ganguly, Abhishek Vashist, and Sai Manoj Pudukotai Dinakarrao. 2021. AWARe-Wi: A jamming-aware reconfigurable wireless interconnection using adversarial learning for multichip systems. Sustain. Comput.: Inform. Syst. 29 (2021), 100470.
    [6]
    Ampere. 2022. Ampere Altra Max 64-Bit Multi-Core Processor. Retrieved from https://amperecomputing.com/processors/ampere-altra/
    [7]
    Dean Michael Ancajas, Koushik Chakraborty, and Sanghamitra Roy. 2014. Fort-NoCs: Mitigating the threat of a compromised NoC. In 51st Annual Design Automation Conference. 1–6.
    [8]
    Mark A. Anders. 2014. High-performance energy-efficient NoC fabrics: Evolution and future challenges. In 8th IEEE/ACM International Symposium on Networks-on-Chip (NoCS’14). IEEE, i–i.
    [9]
    ARM. 1999. “AMBA specification.” Technical report, ARM, Revision 2.0. Retrieved from developer.arm.com/products/architecture/amba-protocol
    [10]
    Arteris. 2009. FlexNoC Resilience Package. Retrieved fromwww.arteris.com/flexnoc-resilience-package-functional-safety
    [11]
    Arteris. 2016. Ncore Cache Coherent Interconnect IP | Arteris. Retrieved from https://www.arteris.com/products/coherent-noc-ip/ncore
    [12]
    Bahareh Asadi, Midia Reshadi, and Ahmad Khademzadeh. 2017. A routing algorithm for reducing optical loss in photonic Networks-on-Chip. Photon. Netw. Commun. 34 (2017), 52–62.
    [13]
    Jean-Philippe Aumasson and Daniel J. Bernstein. 2012. SipHash: A fast short-input PRF. In International Conference on Cryptology in India. Springer, 489–508.
    [14]
    Jun Ho Bahn, Seung Eun Lee, and Nader Bagherzadeh. 2007. On design and analysis of a feasible network-on-chip (NoC) architecture. In 4th International Conference on Information Technology (ITNG’07). IEEE, 1033–1038.
    [15]
    Carlos Angulo Barrios, Vilson R. de Almeida, and Michal Lipson. 2003. Low-power-consumption short-length and high-modulation-depth silicon electrooptic modulator. J. Lightw. Technol. 21, 4 (2003), 1089.
    [16]
    Janibul Bashir, Chandran Goodchild, and Smruti Ranjan Sarangi. 2020. SecONet: A security framework for a photonic network-on-chip. In 14th IEEE/ACM International Symposium on Networks-on-Chip (NoCs’20). IEEE, 1–8.
    [17]
    Janibul Bashir, Eldhose Peter, and Smruti R. Sarangi. 2019. A survey of on-chip optical interconnects. ACM Comput. Surv. 51, 6 (2019), 1–34.
    [18]
    Tobias Bjerregaard and Shankar Mahadevan. 2006. A survey of research and practices of network-on-chip. ACM Comput. Surv. 38, 1 (2006), 1–es.
    [19]
    Wim Bogaerts, Peter De Heyn, Thomas Van Vaerenbergh, Katrien De Vos, Shankar Kumar Selvaraja, Tom Claes, Pieter Dumon, Peter Bienstman, Dries Van Thourhout, and Roel Baets. 2012. Silicon microring resonators. Laser Photon. Rev. 6, 1 (2012), 47–73.
    [20]
    Andrey Bogdanov, Miroslav Knezevic, Gregor Leander, Deniz Toz, Kerem Varici, and Ingrid Verbauwhede. 2012. SPONGENT: The design space of lightweight cryptographic hashing. IEEE Trans. Comput. 62, 10 (2012), 2041–2053.
    [21]
    Travis Boraten and Avinash Kodi. 2018. Mitigation of hardware trojan based denial-of-service attack for secure NoCs. J. Parallel Distrib. Comput. 111 (2018), 24–38.
    [22]
    Travis Boraten and Avinash Karanth Kodi. 2016. Packet security with path sensitization for NoCs. In Design, Automation & Test in Europe Conference & Exhibition (DATE’16). IEEE, 1136–1139.
    [23]
    Travis H. Boraten and Avinash K. Kodi. 2018. Securing NoCs against timing attacks with non-interference based adaptive routing. In 12th IEEE/ACM International Symposium on Networks-on-Chip (NoCs’18). IEEE, 1–8.
    [24]
    Liu Changlong, Zhao Yiqiang, Shi Yafeng, and Gao Xingbo. 2011. A system-on-chip bus architecture for hardware trojan protection in security chips. In IEEE International Conference of Electron Devices and Solid-State Circuits. IEEE, 1–2.
    [25]
    Subodha Charles and Prabhat Mishra. 2021. A survey of network-on-chip security attacks and countermeasures. ACM Comput. Surv. 54, 5 (2021), 1–36.
    [26]
    Subodha Charles, Vincent Bindschaedler, and Prabhat Mishra. 2022. Digital watermarking for detecting malicious intellectual property cores in NoC architectures. IEEE Trans. Very Large Scale Integ. Syst. 30, 7 (2022).
    [27]
    Subodha Charles, Megan Logan, and Prabhat Mishra. 2020. Lightweight anonymous routing in NoC based SoCs. In Design, Automation & Test in Europe Conference & Exhibition (DATE’20). IEEE, 334–337.
    [28]
    Subodha Charles, Yangdi Lyu, and Prabhat Mishra. 2019. Real-time detection and localization of DoS attacks in NoC based SoCs. In Design, Automation & Test in Europe Conference & Exhibition (DATE’19). IEEE, 1160–1165.
    [29]
    Subodha Charles, Yangdi Lyu, and Prabhat Mishra. 2020. Real-time detection and localization of distributed DoS attacks in NoC-based SoCs. IEEE Trans. Comput.-aid. Des. Integ. Circ. Syst. 39, 12 (2020), 4510–4523.
    [30]
    Subodha Charles and Prabhat Mishra. 2020. Lightweight and trust-aware routing in NoC-based SoCs. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI’20). IEEE, 160–167.
    [31]
    Subodha Charles and Prabhat Mishra. 2020. Securing network-on-chip using incremental cryptography. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI’20). IEEE, 168–175.
    [32]
    Kun-Chih Chen, Masoumeh Ebrahimi, Ting-Yi Wang, and Yuch-Chi Yang. 2019. NoC-based DNN accelerator: A future design paradigm. In 13th IEEE/ACM International Symposium on Networks-on-Chip. 1–8.
    [33]
    Yu-Hsin Chen, Tushar Krishna, Joel S. Emer, and Vivienne Sze. 2016. Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural networks. IEEE J. Solid-state Circ. 52, 1 (2016), 127–138.
    [34]
    Sai Vineel Reddy Chittamuru, Ishan G. Thakkar, Varun Bhat, and Sudeep Pasricha. 2018. SOTERIA: Exploiting process variations to enhance hardware security with photonic NoC architectures. In 55th Annual Design Automation Conference. 1–6.
    [35]
    Sai Vineel Reddy Chittamuru, Ishan G. Thakkar, Sudeep Pasricha, Sairam Sri Vatsavai, and Varun Bhat. 2020. Exploiting process variations to secure photonic NoC architectures from snooping attacks. IEEE Trans. Comput.-aid. Des. Integ. Circ. Syst. 40, 5 (2020), 850–863.
    [36]
    Paul Crowley. 2006. Improved cryptanalysis of Py. Cryptology ePrint Archive (2006). https://eprint.iacr.org/2006/030
    [37]
    Joan Daemen and Vincent Rijmen. 1999. AES proposal: Rijndael. Gaithersburg, MD.
    [38]
    W. J. Dally and B. Towles. 2001. Route packets, not wires: On-chip interconnection networks. In 38th Design Automation Conference. 684–689. DOI:
    [39]
    Luka Daoud and Nader Rafla. 2018. Routing aware and runtime detection for infected network-on-chip routers. In IEEE 61st International Midwest Symposium on Circuits and Systems (MWSCAS’18). IEEE, 775–778.
    [40]
    Yvo Desmedt, Shuang Hou, and Jean-Jacques Quisquater. 1998. Audio and optical cryptography. In International Conference on the Theory and Application of Cryptology and Information Security. Springer, 392–404.
    [41]
    Daniel Engels, Markku-Juhani O. Saarinen, Peter Schweitzer, and Eric M. Smith. 2011. The Hummingbird-2 lightweight authenticated encryption algorithm. In International Workshop on Radio Frequency Identification: Security and Privacy Issues. Springer, 19–31.
    [42]
    Dabin Fang, Huikai Li, Jun Han, and Xiaoyang Zeng. 2013. Robustness analysis of mesh-based network-on-chip architecture under flooding-based denial of service attacks. In IEEE 8th International Conference on Networking, Architecture and Storage. IEEE, 178–186.
    [43]
    Leandro Fiorin, Gianluca Palermo, and Cristina Silvano. 2008. A security monitoring service for NoCs. In 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. 197–202.
    [44]
    Amlan Ganguly, Mohsin Yusuf Ahmed, and Anuroop Vidapalapati. 2012. A denial-of-service resilient wireless NoC architecture. In Great Lakes Symposium on VLSI. 259–262.
    [45]
    Amlan Ganguly, Kevin Chang, Sujay Deb, Partha Pratim Pande, Benjamin Belzer, and Christof Teuscher. 2010. Scalable hybrid wireless network-on-chip architectures for multicore systems. IEEE Trans. Comput. 60, 10 (2010), 1485–1502.
    [46]
    Amlan Ganguly, Paul Wettin, Kevin Chang, and Partha Pande. 2011. Complex network inspired fault-tolerant NoC architectures with wireless links. In 5th ACM/IEEE International Symposium on Networks-on-Chip. 169–176.
    [47]
    Pengxing Guo, Weigang Hou, Lei Guo, Zizheng Cao, and Zhaolong Ning. 2020. Potential threats and possible countermeasures for photonic network-on-chip. IEEE Commun. Mag. 58, 9 (2020), 48–53.
    [48]
    Parisa Khadem Hamedani, Natalie Enright Jerger, and Shaahin Hessabi. 2014. Qut: A low-power optical network-on-chip. In 8th IEEE/ACM International Symposium on Networks-on-Chip (NoCS’14). IEEE, 80–87.
    [49]
    John L Henning. 2000. SPEC CPU2000: Measuring CPU performance in the new millennium. Computer 33, 7 (2000), 28–35.
    [50]
    Mubashir Hussain and Hui Guo. 2017. Packet leak detection on hardware-trojan infected NoCs for MPSsoC systems. In International Conference on Cryptography, Security and Privacy. 85–90.
    [51]
    Intel. 2016. Using TinyCrypt Library, Intel Developer Zone. Retrieved fromsoftware.intel.com/en-us/node/734330
    [52]
    [53]
    [54]
    Rajesh J. S., Dean Michael Ancajas, Koushik Chakraborty, and Sanghamitra Roy. 2015. Runtime detection of a bandwidth denial attack from a rogue network-on-chip. In 9th International Symposium on Networks-on-Chip. 1–8.
    [55]
    Manoj Kumar J. Y. V., Ayas Kanta Swain, Sudeendra Kumar, Sauvagya Ranjan Sahoo, and Kamalakanta Mahapatra. 2018. Run time mitigation of performance degradation hardware trojan attacks in network on chip. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI’18). IEEE, 738–743.
    [56]
    John Kim, James Balfour, and William Dally. 2007. Flattened butterfly topology for on-chip networks. In 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’07). IEEE, 172–182.
    [57]
    Nevin Kirman, Meyrem Kirman, Rajeev K. Dokania, Jose F. Martinez, Alyssa B. Apsel, Matthew A. Watkins, and David H. Albonesi. 2006. Leveraging optical technology in future bus-based chip multiprocessors. In 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’06). IEEE, 492–503.
    [58]
    Hyoukjun Kwon, Ananda Samajdar, and Tushar Krishna. 2018. Maeri: Enabling flexible dataflow mapping over DNN accelerators via reconfigurable interconnects. ACM SIGPLAN Not. 53, 2 (2018), 461–475.
    [59]
    Kanishka Lahiri, Anand Raghunathan, and Sujit Dey. 2001. Evaluation of the traffic-performance characteristics of system-on-chip communication architectures. In 14th International Conference on VLSI Design. IEEE, 29–35.
    [60]
    Brian Lebiednik, Sergi Abadal, Hyoukjun Kwon, and Tushar Krishna. 2018. Architecting a secure wireless network-on-chip. In 12th IEEE/ACM International Symposium on Networks-on-Chip (NoCs’18). IEEE, 1–8.
    [61]
    Brian Lebiednik, Sergi Abadal, Hyoukjun Kwon, and Tushar Krishna. 2018. Spoofing prevention via RF power profiling in wireless network-on-chip. In 3rd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems. 1–4.
    [62]
    Suk-Bok Lee, Sai-Wang Tam, Ioannis Pefkianakis, Songwu Lu, M. Frank Chang, Chuanxiong Guo, Glenn Reinman, Chunyi Peng, Mishali Naik, Lixia Zhang, and Jason Cong. 2009. A scalable micro wireless interconnect structure for CMPs. In 15th Annual International Conference on Mobile Computing and Networking. 217–228.
    [63]
    Seung Chan Lee and Tae Hee Han. 2020. Q-function-based traffic-and thermal-aware adaptive routing for 3D network-on-chip. Electronics 9, 3 (2020), 392.
    [64]
    Hui Li, Alain Fourmigue, Sébastien Le Beux, Ian O’Connor, and Gabriela Nicolescu. 2016. Towards maximum energy efficiency in nanophotonic interconnects with thermal-aware on-chip laser tuning. IEEE Trans. Emerg. Topics Comput. 6, 3 (2016), 343–356.
    [65]
    Terrence Mak, Peter Y. K. Cheung, Kai-Pui Lam, and Wayne Luk. 2010. Adaptive routing in network-on-chips using a dynamic-programming network. IEEE Trans. Industr. Electron. 58, 8 (2010), 3701–3716.
    [66]
    R. Manju, Abhijit Das, John Jose, and Prabhat Mishra. 2020. SECTAR: Secure NoC using Trojan aware routing. In IEEE/ACM International Symposium on Networks-on-Chip (NoCs’20).
    [67]
    IBM Microelectronics. 1999. CoreConnect bus architecture. IBM White Paper (1999).
    [68]
    mitre. 2021. CWE - CWE-1331: Improper Isolation of Shared Resources in Network On Chip (NoC). Retrieved from https://cwe.mitre.org/data/definitions/1331.html
    [69]
    Daniel Moghimi. 2023. Downfall: Exploiting speculative data gathering. In 32nd USENIX Security Symposium (USENIX Security’23).
    [70]
    Umit Y. Ogras and Radu Marculescu. 2006. “It’s a small world after all”: NoC performance optimization via long-range link insertion. IEEE Trans. Very Large Scale Integ. Syst. 14, 7 (2006), 693–706.
    [71]
    Vasilis F. Pavlidis and Eby G. Friedman. 2007. 3-D topologies for networks-on-chip. IEEE Trans. Very Large Scale Integ. Syst. 15, 10 (2007), 1081–1090.
    [72]
    Fernando Pereñíguez-García and José L. Abellán. 2017. Secure communications in wireless network-on-chips. In 2nd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems. 27–32.
    [73]
    Luca Ramini, Paolo Grani, Sandro Bartolini, and Davide Bertozzi. 2013. Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis. In Design, Automation & Test in Europe Conference & Exhibition (DATE’13). IEEE, 1589–1594.
    [74]
    Venkata Yaswanth Raparti and Sudeep Pasricha. 2019. Lightweight mitigation of hardware Trojan attacks in NoC-based manycore computing. In 56th ACM/IEEE Design Automation Conference (DAC’19). IEEE, 1–6.
    [75]
    Cezar Reinbrecht, Altamiro Susin, Lilian Bossuet, and Johanna Sepúlveda. 2016. Gossip NoC–avoiding timing side-channel attacks through traffic management. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI’16). IEEE, 601–606.
    [76]
    Ronald Rivest. 1992. The MD5 Message-digest Algorithm. Technical Report. MIT Laboratory for Computer Science and RSA Data Security, Inc.
    [77]
    Ronald L. Rivest. 1997. All-or-nothing encryption and the package transform. In International Workshop on Fast Software Encryption. Springer, 210–218.
    [78]
    Ronald L. Rivest. 1998. Chaffing and winnowing: Confidentiality without encryption. CryptoBytes (RSA Lab.) 4, 1 (1998), 12–17.
    [79]
    Sidhartha Sankar Rout, Akshat Singh, Suyog Bhimrao Patil, Mitali Sinha, and Sujay Deb. 2020. Security threats in channel access mechanism of wireless NoC and efficient countermeasures. In IEEE International Symposium on Circuits and Systems (ISCAS’20). IEEE, 1–5.
    [80]
    Amin Sarihi, Ahmad Patooghy, Mahdi Hasanzadeh, Mostafa Abdelrehim, and Abdel-Hameed A. Badawy. 2021. Securing network-on-chips via novel anonymous routing. In 15th IEEE/ACM International Symposium on Networks-on-Chip. 29–34.
    [81]
    Amin Sarihi, Ahmad Patooghy, Ahmed Khalid, Mahdi Hasanzadeh, Mostafa Said, and Abdel-Hameed A. Badawy. 2021. A survey on the security of wired, wireless, and 3D network-on-chips. IEEE Access 9 (2021).
    [82]
    Johanna Sepúlveda, Daniel Flórez, Mathias Soeken, Jean-Philippe Diguet, and Guy Gogniat. 2016. Dynamic NoC buffer allocation for MPSoC timing side channel attack protection. In IEEE 7th Latin American Symposium on Circuits & Systems (LASCAS’16). IEEE, 91–94.
    [83]
    Johanna Sepúlveda, Andreas Zankl, Daniel Flórez, and Georg Sigl. 2017. Towards protected MPSoC communication for information protection against a malicious NoC. Procedia Comput. Sci. 108 (2017), 1103–1112.
    [84]
    Martha Johanna Sepulveda, Jean-Philippe Diguet, Marius Strum, and Guy Gogniat. 2014. NoC-based protection for soc time-driven attacks. IEEE Embed. Syst. Lett. 7, 1 (2014), 7–10.
    [85]
    Assaf Shacham, Keren Bergman, and Luca P. Carloni. 2007. On the design of a photonic network-on-chip. In 1st International Symposium on Networks-on-Chip (NoCs’07). IEEE, 53–64.
    [86]
    Mitali Sinha, Setu Gupta, Sidhartha Sankar Rout, and Sujay Deb. 2021. Sniffer: A machine learning approach for DoS attack localization in NoC-based SoCs. IEEE J. Emerg. Select. Topics Circ. Syst. 11, 2 (2021), 278–291.
    [87]
    Chamika Sudusinghe, Subodha Charles, and Prabhat Mishra. 2021. Denial-of-service attack detection using machine learning in network-on-chip architectures. In 15th IEEE/ACM International Symposium on Networks-on-Chip. 35–40.
    [88]
    Chen Sun, Mark T. Wade, Yunsup Lee, Jason S. Orcutt, Luca Alloatti, Michael S. Georgas, Andrew S. Waterman, Jeffrey M. Shainline, Rimas R. Avizienis, Sen Lin, Benjamin R. Moss, Rajesh Kumar, Fabio Pavanello, Amir H. Atabaki, Henry M. Cook, Albert J. Ou, Jonathan C. Leu, Yu-Hsin Chen, Krste Asanović, Rajeev J. Ram, Miloš A. Popović, and Vladimir M. Stojanović. 2015. Single-chip microprocessor that communicates directly using light. Nature 528, 7583 (2015), 534–538.
    [89]
    Abhishek Vashist, Andrew Keats, Sai Manoj Pudukotai Dinakarrao, and Amlan Ganguly. 2019. Securing a wireless network-on-chip against jamming based denial-of-service attacks. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI’19). IEEE, 320–325.
    [90]
    Abhishek Vashist, Andrew Keats, Sai Manoj Pudukotai Dinakarrao, and Amlan Ganguly. 2019. Unified testing and security framework for wireless network-on-chip enabled multi-core chips. ACM Trans. Embed. Comput. Syst. 18, 5s (2019), 1–20.
    [91]
    Chifeng Wang, Wen-Hsiang Hu, and Nader Bagherzadeh. 2011. A wireless network-on-chip design for multicore platforms. In 19th International Euromicro Conference on Parallel, Distributed and Network-based Processing. IEEE, 409–416.
    [92]
    Shuai Wang and Tao Jin. 2014. Wireless network-on-chip: A survey. J. Eng. 2014, 3 (2014), 98–104.
    [93]
    Yao Wang and G. Edward Suh. 2012. Efficient timing channel protection for on-chip networks. In IEEE/ACM 6th International Symposium on Networks-on-Chip. IEEE, 142–151.
    [94]
    Hansika Weerasena, Subodha Charles, and Prabhat Mishra. 2021. Lightweight encryption using chaffing and winnowing with all-or-nothing transform for network-on-chip architectures. In IEEE International Symposium on Hardware Oriented Security and Trust (HOST’21). IEEE, 170–180.
    [95]
    Sebastian Werner, Javier Navaridas, and Mikel Luján. 2015. AMON: An advanced mesh-like optical NoC. In IEEE 23rd Annual Symposium on High-Performance Interconnects. IEEE, 52–59.
    [96]
    Sebastian Werner, Javier Navaridas, and Mikel Luján. 2017. A survey on optical network-on-chip architectures. ACM Comput. Surv. 50, 6 (2017), 1–37.
    [97]
    Werner Wiesbeck, Grzegorz Adamiuk, and Christian Sturm. 2009. Basic properties and design principles of UWB antennas. Proc. IEEE 97, 2 (2009), 372–385.
    [98]
    Dan Zhao and Yi Wang. 2008. SD-MAC: Design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless network-on-chip. IEEE Trans. Comput. 57, 9 (2008), 1230–1245.
    [99]
    Dan Zhao, Yi Wang, Jian Li, and Takamaro Kikkawa. 2011. Design of multi-channel wireless NoC to improve on-chip communication capacity! In 5th ACM/IEEE International Symposium. IEEE, 177–184.
    [100]
    Jun Zhou, Mengquan Li, Pengxing Guo, and Weichen Liu. 2020. Mitigation of tampering attacks for MR-based thermal sensing in optical NoCs. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI’20). IEEE, 554–559.
    [101]
    Jun Zhou, Mengquan Li, Pengxing Guo, and Weichen Liu. 2021. Attack mitigation of hardware trojans for thermal sensing via micro-ring resonator in optical NoCs. ACM J. Emerg. Technol. Comput. Syst. 17, 3 (2021), 1–23.

    Cited By

    View all
    • (2023)Wireless Network-on-Chip Security Review: Attack Taxonomy, Implications, and CountermeasuresIEEE Access10.1109/ACCESS.2023.332957211(122876-122892)Online publication date: 2023

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 29, Issue 2
    March 2024
    438 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/3613564
    • Editor:
    • Jiang Hu
    Issue’s Table of Contents

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 14 February 2024
    Online AM: 30 October 2023
    Accepted: 20 October 2023
    Revised: 15 September 2023
    Received: 30 March 2023
    Published in TODAES Volume 29, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Network-on-chip security
    2. communication security

    Qualifiers

    • Survey

    Funding Sources

    • National Science Foundation (NSF)

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)199
    • Downloads (Last 6 weeks)47

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Wireless Network-on-Chip Security Review: Attack Taxonomy, Implications, and CountermeasuresIEEE Access10.1109/ACCESS.2023.332957211(122876-122892)Online publication date: 2023

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    Full Text

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media