Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article
Free access

Layout-driven RTL binding techniques for high-level synthesis using accurate estimators

Published: 01 October 1997 Publication History

Abstract

The importance of effective and efficient accounting of layout effects is well established in High-Level Synthesis (HLS), since it allows more realistic exploration of the design space and the generation of solutions with predictable metrics. This feature is highly desirable in order to avoid unnecessary iterations through the design process. In this article, we address the problem of layout-driven register-transfer-level (RTL) binding as this step has a direct relevance to the final performance of the design. By producing not only an RTL design but also an approximate physical topology of the chip-level implementation, we ensure that the solution will perform at the predicted metric once implemented, thus avoiding unnecessary delays in the design process.

References

[1]
BENKOSKI, J. AND STROJWAS, A. 1991. Tutorial: The role of timing verification in layout synthesis. In Proceedings of the 28th Design Automation Conference, (San Francisco, CA, June 17-21), 612-619.
[2]
BREWER, F. AND GAJSKI, D. D. 1990. Chippe: A system for constraint driven behavioral synthesis. IEEE Trans. Comput. Aided Des. 9, 7, (July), 681-695.
[3]
CHEN, X. AND BUSHNELL, M.L. 1988. A module are estimator for VLSI layouts. In Proceedings of the 25th Design Automation Conference (Anaheim, CA, June 12-15), 54-59.
[4]
DONATH, W. E. 1979. Placement and average interconnection lengths of computer logic. IEICE Trans. Circuits Syst. CAS-26, 272-277.
[5]
DUNLOP, A. E., AGRAWAL, V. V., DEUTSCH, D. N., JUKL, M. F., ET AL., 1984. Chip layout optimization using critical path weighting. In Proceedings of the 21st ACM/IEEE Design Automation Conference (Albuquerque, NM, June 25-27), 133-136.
[6]
EWERING, C. 1990. Automatic high-level synthesis of partitioned busses. In Proceedings of the 1990 IEEE International Conference on Computer-Aided Design (Santa Clara, CA, Nov. 11-15), 304-307.
[7]
FANG, Y. M. AND WONG, D. F. 1994. Simultaneous functional-unit binding and floorplanning. In Proceedings of the IEEE International Conference on Computer Aided Design (San Jose, CA, Nov. 6-10), 317-312.
[8]
FEUER, M. 1982. Connectivity of random logic. IEEE Trans. Comput. Aided Des. C-31, 1 (Jan.), 29-33.
[9]
FRANCIS, R. J. 1992. A tutorial on logic synthesis for lookup-table based FPGAs. In Proceedings of the IEEE International Conference on Computer Aided Design (Santa Clara, CA, Nov. 8-12), 40-47.
[10]
FRANCIS, R. J., ROSE, J., AND VRANESIC, Z. 1990. Chortle: A technology mapping program for lookup table-based field-programmable gate arrays. In Proceedings of the 27th ACM/IEEE Design Automation Conference (Orlando, FL, June 24-28), 613-619.
[11]
FRANK, E. AND LENGAUER, T. 1995. Applause: Area and performance optimization in a unified placement and synthesis environment. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design, (San Jose, CA, Nov. 5-9), 662-667.
[12]
GAJSKI, D. D., RAMACHANDRAN, L., FUNG, P., NARAYAN, S., AND VAHID, F. 1994. 100-hour design cycle: A test case. In Proceedings of EURO-DAC and EURO-VHDL (Grenoble, France, Sept. 19-23), 144-149.
[13]
GAMAL, A. A. E. 1977. Two-dimensional stochastic model for interconnections in master slice integrated circuits. IEICE Trans. Circuits Syst. CAS-28, 127-138.
[14]
GURA, C. V. AND ABRAHAM, g. 1989. Average interconnection length and interconnection distribution based on Rent's rule. In Proceedings of the 26th ACM/IEEE Design Automation Conference (Las Vegas, NV, June 25-29), 574-577.
[15]
JACKSON, M. AND KUH, E. 1989. Performance driven placement of cell based IC's. In Proceedings of the 26th Design Automation Conference.
[16]
JANG, H. J. AND PANGRLE, B.M. 1993. A grid-based approach for connectivity binding with geometric costs. In Proceedings of the IEEE International Conference on Computer-Aided Design, (Santa Clara, CA, Nov. 7-11), 94-99.
[17]
JHA, P. K., RAMACHANDRAN, C., DUTT, N., AND KURDAHI, F.J. 1994. An empirical study on the effects of component styles and shapes on high-level synthesis. In Proceedings of VLSI.
[18]
JHA, P. K., HADLEY, T., AND DUTT, N.D. 1995. The Genus user manual and C programming library. Tech. Rep. 93-32 (April), Dept. of Information and Computer Science, University of California, Irvine.
[19]
KNAPP, D.W. 1992. Fasolt: A program for feedback-driven data-path optimization. IEEE Trans. Comput. Aided Des. 11, 6 (June), 677-695.
[20]
KURDAHI, F. J., GAJSKI, D. D., RAMACHANDRAN, C., AND CHAIYAKAL, V. 1993. Linking registertransfer and physical levels of design. IEICE Trans. Inf. Syst. E76, 9, 991-1005.
[21]
LAPOTIN, D. AND CHEN, Y. 1989. Early matching of system requirements and package capabilities. In Proceedings of the IEEE International Conference on Computer Aided Design (Santa Clara, CA, Nov. 5-9), 394-397.
[22]
MCFARLAND, M. C. 1986. Using bottom-up design techniques in the synthesis of digital hardware from abstract behavioral descriptions. In Proceedings of the ACM/IEEE 23rd Design Automation Conference, (Las Vegas, NV, June 29-July 2), 474-480.
[23]
MUJUMDAR, A., RIM, M., gAIN, R., AND LEONE, R.D. 1994. Bitnet: An algorithm for solving the binding problem. In Proceedings of International Conference on VLSI Design (Calcutta, India, Jan. 5-8), 163-168.
[24]
OUSTERHOUT, J. 1985. A switch-level timing verifier for digital MOS VLSI. IEEE Trans. Comput. Aided Des. CAD 4, 3 (July), 336-349.
[25]
PAULIN, P. G. AND KNIGHT, J.P. 1989. Force-directed scheduling for the behavioral synthesis of ASICs. IEEE Trans. Comput. Aided Des. Integrated Circuits 8, 6 (June), 661-679.
[26]
RAMACHANDRAN, C. AND KURDAHI, F. g. 1994. Incorporating the controller effects during register-transfer level synthesis. In Proceedings of European Design and Test Conference (Paris, Feb. 28-March 28), 308-313.
[27]
RAMACHANDRAN, C., KURDAHI, F. J., GAJSKI, D. D., CHAIYAKUL, V., AND WU, A. 1992. Accurate layout area and delay modeling for system level design. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (Santa Clara, CA, Nov. 8-12), 355-361.
[28]
SASTRY, S. AND PARKER, A.C. 1984. On the relation between wire length distributions and placement of logic on master slice ICs. In Proceedings of the 21st Design Automation Conference (Albuquerque, NM, June 25-27), 710-711.
[29]
SCHLAG, M. D. F., CHAN, P. K., AND KONG, J. 1991. Empirical evaluation of multilevel logic minimization tools for a field-programmable gate array technology. Tech. Rep., University of California, Santa Cruz.
[30]
SUTANTHAVIBUL, S. AND SHRAGOVITZ, E. 1991. Dynamic prediction of critical paths and nets for constructive timing-driven placement. In Proceedings of the 28th ACM/IEEE Design Automation Conference (San Francisco, CA, June 17-21), 632-635.
[31]
WENG, J. P. AND PARKER, A. C. 1991. 3D scheduling: High-level synthesis with floorplanning. In Proceedings of the 28th ACM/IEEE Design Automation Conference (San Francisco, CA, June 17-21), 668-673.
[32]
XILINX. 1994. XACT Development System: Libraries Guide. Xilinx Design Automation.
[33]
XILINX95. 1995. XACT Xilinx Synopsys Interface FPGA User Guide. Xilinx Design Automation.
[34]
Xu, M. AND KURDAHI, F.J. 1996. Area and timing estimation for lookup table based FPGAS. In Proceedings of the European Design and Test Conference (Paris, March 11-14), 151-157.
[35]
Xu, M. AND KURDAHI, F. J. 1997. ChipEst-FPGA: A tool for chip level area and timing estimation of lookup table based FPGAS for high level applications. In Proceedings of the Asia Pacific Design Automation Conference (Albuquerque, NM, Jan. 28-31), 435-440.

Cited By

View all
  • (2023)TAPA: A Scalable Task-parallel Dataflow Programming Framework for Modern FPGAs with Co-optimization of HLS and Physical DesignACM Transactions on Reconfigurable Technology and Systems10.1145/360933516:4(1-31)Online publication date: 5-Dec-2023
  • (2021)AutoBridgeThe 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays10.1145/3431920.3439289(81-92)Online publication date: 17-Feb-2021
  • (2014)Fast and effective placement and routing directed high-level synthesis for FPGAsProceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays10.1145/2554688.2554775(1-10)Online publication date: 26-Feb-2014
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 01 October 1997
Published in TODAES Volume 2, Issue 4

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. FPGAs
  2. binding
  3. floorplan
  4. high-level synthesis

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)55
  • Downloads (Last 6 weeks)10
Reflects downloads up to 06 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2023)TAPA: A Scalable Task-parallel Dataflow Programming Framework for Modern FPGAs with Co-optimization of HLS and Physical DesignACM Transactions on Reconfigurable Technology and Systems10.1145/360933516:4(1-31)Online publication date: 5-Dec-2023
  • (2021)AutoBridgeThe 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays10.1145/3431920.3439289(81-92)Online publication date: 17-Feb-2021
  • (2014)Fast and effective placement and routing directed high-level synthesis for FPGAsProceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays10.1145/2554688.2554775(1-10)Online publication date: 26-Feb-2014
  • (2012)Integration of Behavioral Synthesis and Floorplanning for Asynchronous Circuits with Bundled-Data ImplementationIEICE Transactions on Electronics10.1587/transele.E95.C.506E95-C:4(506-515)Online publication date: 2012
  • (2012)Towards layout-friendly high-level synthesisProceedings of the 2012 ACM international symposium on International Symposium on Physical Design10.1145/2160916.2160952(165-172)Online publication date: 25-Mar-2012
  • (2011)Integration of behavioral synthesis and floorplanning for asynchronous circuits with bundled-data implementationProceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI10.1145/1973009.1973042(157-162)Online publication date: 2-May-2011
  • (2009)Floorplan-driven multivoltage high-level synthesisVLSI Design10.1155/2009/1567512009(1-10)Online publication date: 1-Jan-2009
  • (2008)A programmable hardware cellular automatonVLSI Design10.1155/2008/1607282008:2(1-7)Online publication date: 1-Jan-2008
  • (2006)An integrated approach to thermal management in high-level synthesisIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2006.88640814:11(1165-1174)Online publication date: 1-Nov-2006
  • (2006)Design Space Exploration of RTL Datapaths Using Rent Parameter based Stochastic Wirelength EstimationProceedings of the 7th International Symposium on Quality Electronic Design10.1109/ISQED.2006.48(364-369)Online publication date: 27-Mar-2006
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media