Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

BoxRouter 2.0: A hybrid and robust global router with layer assignment for routability

Published: 07 April 2009 Publication History

Abstract

In this article, we present BoxRouter 2.0, and discuss its architecture and implementation. As high-performance VLSI design becomes more interconnect-dominant, efficient congestion elimination in global routing is in greater demand. Hence, we propose a global router which has a strong ability to improve routability and minimize the number of vias with blockages, while minimizing wirelength. BoxRouter 2.0 is extended from BoxRouter 1.0, but can perform multi-layer routing with 2D global routing and layer assignment. Our 2D global routing is equipped with two ideas: node shifting for congestion-aware Steiner tree and robust negotiation-based A* search for routing stability. After 2D global routing, 2D-to-3D mapping is done by the layer assignment which is powered by progressive via/blockage-aware integer linear programming. Experimental results show that BoxRouter 2.0 has better routability with comparable wirelength than other routers on ISPD07 benchmark, and it can complete (no overflow) the widely used ISPD98 benchmark for the first time in the literature with the shortest wirelength. We further generate a set of harder ISPD98 benchmarks to push the limit of BoxRouter 2.0, and propose the hardened ISPD98 benchmarks to map state-of-the-art solutions for future routing research.

References

[1]
Ahn, K. and Sahni, S. 1993. Constrained via minimization. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 12, 2, 273--282.
[2]
Albrecht, C. 2001. Global routing by new approximation algorithms for multicommodity flow. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 20, 5, 622--632.
[3]
Alpert, C. J., Gandham, G., Hrkic, M., Hu, J., Quay, S. T., and Sze, C. 2004. Porosity aware buffered steiner tree construction. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 23, 4, 517--526.
[4]
Brenner, U. and Rohe, A. 2003. An effective congestion-driven placement framework. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 22, 4, 387--394.
[5]
Burstein, M. and Pelavin, R. 1983. Hierarchical wire routing. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 2, 4 (Oct), 223--234.
[6]
Cao, Z., Jing, T., Xiong, J., Hu, Y., He, L., and Hong, X. 2007. DpRouter: A fast and accurate dynamic-pattern-based global routing algorithm. In Proceedings of the Asia and South Pacific Design Automation Conference.
[7]
Chang, C.-C. and Cong, J. 1999. An efficient approach to multilayer layer assignment with an application to via minimization. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 18, 5, 608--620.
[8]
Chang, K. C. and Du, H. C. 1988. Layer assignment problem for three-layer routing. IEEE Trans. Comput. 37, 5, 625--632.
[9]
Cho, M. and Pan, D. Z. 2006. BoxRouter: A new global router based on box expansion and progressive ILP. In Proceedings of the Design Automation Conference.
[10]
Cho, M. and Pan, D. Z. 2007. BoxRouter: A new global router based on box expansion and progressive ILP. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 12, 2130--2143.
[11]
Cho, M., Xiang, H., Puri, R., and Pan, D. Z. 2006. Wire density driven global routing for CMP variation and timing. In Proceedings of the International Conference on Computer Aided Design.
[12]
Chu, C. C. N. 2004. FLUTE: Fast lookup table based wirelength estimation technique. In Proceedings of the International Conference on Computer Aided Design.
[13]
Ciesielski, M. J. and Kinnen, E. 1981. An optimum layer assignment for routing in ICs and PCBs. In Proceedings of the Design Automation Conference.
[14]
Cong, J. 1997. Challenges and opportunities for design innovations in nanometer technologies. SRC Design Science Concept Papers.
[15]
Cong, J., Fang, J., Xie, M., and Zhang, Y. 2005. MARS—A multilevel full-chip gridless routing system. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 24, 3, 382--394.
[16]
Gao, J.-R., Wu, P.-C., and Wang, T.-C. 2008. High-performance routing at the nanometer scale. In Proceedings of the Asia and South Pacific Design Automation Conference.
[17]
Hadsell, R. T. and Madden, P. H. 2003. Improved global routing through congestion estimation. In Proceedings of the Design Automation Conference.
[18]
Hentschke, R., Narasimhan, J., Johann, M., and Reis, R. 2007. Maze routing steiner trees with effective critical sink optimization. In Proceedings of the International Symposium on Physical Design.
[19]
http://www.ispd.cc/ispd07_contest.html.
[20]
Hu, J. and Sapatnekar, S. 2000. A timing-constrained algorithm for simultaneous global routing of multimple nets. In Proceedings of the International Conference on Computer Aided Design.
[21]
Hu, J. and Sapatnekar, S. 2002. A survey on multi-net global routing for integrated circuits. Integration, VLSI J. 31, 1, 1--49.
[22]
Jhang, K. 2000. Minimum crosstalk layer assignment in a three layer HVH channel routing based on linear pseudo Boolean optimization. In Proceedings of the International Conference on Microelectronics.
[23]
Kastner, R., Bozorgzadeh, E., and Sarrafzadeh, M. 2001. An exact algorithm for coupling-free routing. In Proceedings of the International Symposium on Physical Design.
[24]
Kastner, R., Bozorgzadeh, E., and Sarrafzadeh, M. 2002. Pattern routing: Use and theory for increasing predictability and avoiding coupling. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 21, 7, 777--790.
[25]
Kay, R. and Rutenbar, R. A. 2000. Wire packing: A strong formulation of crosstalk-aware chip-level track/layer assignment with an efficient integer programming solution. In Proceedings of the International Symposium on Physical Design.
[26]
Kutzschebauch, T. and Stok, L. 2001. Congestion aware layout driven logic synthesis. In Proceedings of the International Conference on Computer Aided Design.
[27]
Lee, K.-Y. and Wang, T.-C. 2006. Post-routing redundant via insertion for yield/reliability improvement. In Proceedings of the Asia and South Pacific Design Automation Conference.
[28]
McMurchie, L. and Ebeling, C. 1995. PathFinder: A negotiation-based performance-driven router for FPGAs. In Proceedings of the ACM Symposium on FPGAs.
[29]
Naclerio, N., Masuda, S., and Nakajima, K. 1989. The via minimization problem is NP-complete. IEEE Trans. Comput. 38, 11, 1604--1608.
[30]
Ozdal, M. M. and Wong, M. D. F. 2007. ARCHER: A history-driven global routing algorithm. In Proceedings of the International Conference on Computer Aided Design.
[31]
Pan, M. and Chu, C. 2006. FastRoute: A step to integrate global routing into placement. In Proceedings of the International Conf on Computer Aided Design.
[32]
Pan, M. and Chu, C. 2007. Fastroute 2.0: A high-quality and efficient global router. In Proceedings of the Asia and South Pacific Design Automation Conference.
[33]
Roy, J. A. and Markov, I. L. 2007. High-performance routing at the nanometer scale. In Proceedings of the International Conference on Computer Aided Design.
[34]
Semiconductor Industry Association. 2007. International Technology Roadmap for Semiconductors. Semiconductor Industry Association.
[35]
Shi, C.-J., Vannelli, A., and Vlach, J. 1997. Performance-driven layer assignment by integer linear programming and path-constrained hypergraph partitioning. J. Heurist. 3, 3, 225--243.
[36]
UTDA. http://www.cerc.utexas.edu/utda.
[37]
Wenting, H., Hong, Y., Xianlong, H., Weimin, C. Y. W., Gu, G. J., and Kao, W. 2001. A new congestion-driven placement algorithm based on cell inflation. In Proceedings of the Asia and South Pacific Design Automation Conference.
[38]
Westra, J., Bartels, C., and Groeneveld, P. 2004. Probabilistic congestion prediction. In Proceedings of the International Symposium on Physical Design.
[39]
Westra, J., Bartels, C., and Groeneveld, P. 2005. Is probabilistic congestion estimation worthwhile? Proceedings of the System-Level Interconnect on Prediction.
[40]
Westra, J., Groeneveld, P., Yan, T., and Madden, P. H. 2005. Global routing: Metrics, benchmarks, and tools. In Proceedings of the IEEE Design Automation Technical Conference on Electronic Design Process.
[41]
Wu, D., Hu, J., and Mahapatra, R. 2005. Coupling aware timing optimization and antenna avoidance in layer assignment. In Proceedings of the International Symposium on Physical Design.
[42]
Wu, D., Hu, J., and Mahapatra, R. 2006. Antenna avoidance in layer assignment. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 25, 4, 734--774.
[43]
Wu, D., Hu, J., Mahapatra, R., and Zhao, M. 2004. Layer assignment for crosstalk risk minimization. In Proceedings of the Asia and South Pacific Design Automation Conference.
[44]
Xu, G. R., Tian, D. Z. P., and Wong, M. D. F. 2005. CMP aware shuttle mask floorplanning. In Proceedings of the Asia and South Pacific Design Automation Conference.

Cited By

View all
  • (2024)A Robust Multilayer X-Architecture Global Routing System Based on Particle Swarm OptimizationIEEE Transactions on Systems, Man, and Cybernetics: Systems10.1109/TSMC.2024.340796054:9(5627-5640)Online publication date: Sep-2024
  • (2024)A Deterministic Concurrent-Routing Algorithm to Improve Wire Selection in FPGA Routing2024 9th International Conference on Integrated Circuits, Design, and Verification (ICDV)10.1109/ICDV61346.2024.10616485(160-165)Online publication date: 6-Jun-2024
  • (2024)A Global Router with Topology Optimization on Hanan Grid2024 13th International Conference on Communications, Circuits and Systems (ICCCAS)10.1109/ICCCAS62034.2024.10652868(358-363)Online publication date: 10-May-2024
  • Show More Cited By

Index Terms

  1. BoxRouter 2.0: A hybrid and robust global router with layer assignment for routability

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 14, Issue 2
    March 2009
    384 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/1497561
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 07 April 2009
    Accepted: 01 November 2008
    Revised: 01 March 2008
    Received: 01 July 2007
    Published in TODAES Volume 14, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. VLSI
    2. congestion
    3. global routing
    4. integer linear programming
    5. layer assignment
    6. physical design
    7. routability

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)26
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 12 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)A Robust Multilayer X-Architecture Global Routing System Based on Particle Swarm OptimizationIEEE Transactions on Systems, Man, and Cybernetics: Systems10.1109/TSMC.2024.340796054:9(5627-5640)Online publication date: Sep-2024
    • (2024)A Deterministic Concurrent-Routing Algorithm to Improve Wire Selection in FPGA Routing2024 9th International Conference on Integrated Circuits, Design, and Verification (ICDV)10.1109/ICDV61346.2024.10616485(160-165)Online publication date: 6-Jun-2024
    • (2024)A Global Router with Topology Optimization on Hanan Grid2024 13th International Conference on Communications, Circuits and Systems (ICCCAS)10.1109/ICCCAS62034.2024.10652868(358-363)Online publication date: 10-May-2024
    • (2022)Congestion-Aware Rectilinear Steiner Tree Construction Using PB-SATJournal of Circuits, Systems and Computers10.1142/S021812662250165131:09Online publication date: 5-Mar-2022
    • (2022)Stitch-avoiding Global Routing for Multiple E-Beam Lithography2022 35th International Conference on VLSI Design and 2022 21st International Conference on Embedded Systems (VLSID)10.1109/VLSID2022.2022.00037(138-143)Online publication date: Feb-2022
    • (2021)Asynchronous Multi-Nets Detailed Routing in VLSI using Multi-Agent Reinforcement Learning2021 7th IEEE International Conference on Network Intelligence and Digital Content (IC-NIDC)10.1109/IC-NIDC54101.2021.9660569(250-254)Online publication date: 17-Nov-2021
    • (2021)Machine learning based effective linear regression model for TSV layer assignment in 3DICMicroprocessors & Microsystems10.1016/j.micpro.2021.10395383:COnline publication date: 1-Jun-2021
    • (2020)Dr. CU: Detailed Routing by Sparse Grid Graph and Minimum-Area-Captured Path SearchIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.292754239:9(1902-1915)Online publication date: Sep-2020
    • (2020)A Survey on Steiner Tree Construction and Global Routing for VLSI DesignIEEE Access10.1109/ACCESS.2020.29861388(68593-68622)Online publication date: 2020
    • (2020)A Survey of Swarm Intelligence Techniques in VLSI Routing ProblemsIEEE Access10.1109/ACCESS.2020.29715748(26266-26292)Online publication date: 2020
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media