Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

MOUSETRAP: high-speed transition-signaling asynchronous pipelines

Published: 01 June 2007 Publication History
  • Get Citation Alerts
  • Abstract

    An asynchronous pipeline style is introduced for high-speed applications, called MOUSETRAP. The pipeline uses standard transparent latches and static logic in its datapath, and small latch controllers consisting of only a single gate per pipeline stage. This simple structure is combined with an efficient and highly-concurrent event-driven protocol between adjacent stages. Post-layout SPICE simulations of a ten-stage pipeline with a 4-bit wide datapath indicate throughputs of 2.1-2.4 GHz in a 0.18-µm TSMC CMOS process. Similar results were obtained when the datapath width was extended to 16 bits. This performance is competitive even with that of wave pipelines [40], [19] without the accompanying problems of complex timing and much design effort. Additionally, the new pipeline gracefully and robustly adapts to variable speed environments. The pipeline stages are extended to fork and join structures, to handle more complex system architectures.

    References

    [1]
    {1} ARM, Cambridge, U.K., "ARM offers first clockless processor core," (2006). {Online}. Available: http://www.eetimes.com/news/latest/ showArticle.jhtml?articleID=179101800
    [2]
    {2} M. Borah, R. M. Owens, and M. J. Irwin, "High-throughput and low-power DSP using clocked-CMOS circuitry," in Proc. Int. Symp. Low-Power Design, 1995, pp. 139-144.
    [3]
    {3} L. Carloni, K. McMillan, and A. Sangiovanni-Vincentelli, "The theory of latency insensitive design," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 20, no. 9, pp. 1059-1076, Sep. 2001.
    [4]
    {4} A. Chakraborty and M. R. Greenstreet, "Efficient self-timed interfaces for crossing clock domains," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits Syst., 2003, pp. 78-88.
    [5]
    {5} T. Chelcea and S. M. Nowick, "Robust interfaces for mixed-timing systems with application to latency-insensitive protocols," in Proc. ACM/ IEEE Design Autom. Conf., 2001, pp. 21-26.
    [6]
    {6} T.-A. Chu, "Synthesis of self-timed vlsi circuits from graph-theoretic specifications," Ph.D. dissertation, Lab. Comput. Sci., MIT, Cambridge, 1987.
    [7]
    {7} P. Day and J. V. Woods, "Investigation into micropipeline latch design styles," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 3, no. 2, pp. 264-272, Jun. 1995.
    [8]
    {8} A. Dooply and K. Yun, "Optimal clocking and enhanced testability for high-performance self-resetting domino pipelines," in ARVLSI, 1999, pp. 200-214.
    [9]
    {9} M. Ferretti and P. A. Beerel, "Single-track asynchronous pipeline templates using 1-of-N encoding," in Proc. Design, Autom. Test Eur. (DATE), 2002, pp. 1008-1015.
    [10]
    {10} S. Furber, "Computing without clocks: Micropipelining the ARM processor," in Proc. Asynchronous Digit. Circuit Design, Workshops Comput., 1995, pp. 211-262.
    [11]
    {11} S. B. Furber and P. Day, "Four-phase micropipeline latch control circuits," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 4, no. 2, pp. 247-253, Jun. 1996.
    [12]
    {12} H. v. Gageldonk, D. Baumann, K. van Berkel, D. Gloor, A. Peeters, and G. Stegmann, "An asynchronous low-power 80C51 microcontroller," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits Syst. (ASYNC), 1998, pp. 96-107.
    [13]
    {13} Handshake Solutions, Eindhoven, The Netherlands, "Home page" (2006). {Online}. Available: http://www.handshakesolutions.com
    [14]
    {14} D. Harris and M. Horowitz, "Skew-tolerant domino circuits," IEEE J. Solid-States Circuits, vol. 32, no. 11, pp. 1702-1711, Nov. 1997.
    [15]
    {15} O. Hauck, M. Garg, and S. A. Huss, "Two-phase asynchronous wave-pipelines and their application to a 2D-DCT," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits. Syst. (ASYNC), 1999, pp. 219-228.
    [16]
    {16} H. M. Jacobson, P. N. Kudva, P. Bose, P. W. Cook, S. E. Schuster, E. G. Mercer, and C. J. Myers, "Synchronous interlocked pipelines," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits Syst., 2002, pp. 3-12.
    [17]
    {17} J. Kessels, A. Peeters, and S.-J. Kim, "Bridging clock domains by synchronizing the mice in the mousetrap," in Proc. Power Timing Modeling, Optimization Simulation (PATMOS), volume 2799 of Lecture Notes Comput. Sci., 2003, pp. 141-150.
    [18]
    {18} A. M. Lines, "Pipelined asynchronous circuits," Master's thesis, Dept. Comput. Sci., California Inst. Technol., Pasadena, 1998.
    [19]
    {19} W. Liu, C. T. Gray, D. Fan, W. J. Farlow, T. A. Hughes, and R. K. Cavin, "A 250-MHz wave pipelined adder in 2-µm CMOS," IEEE J. Solid-States Circuits, vol. 29, no. 9, pp. 1117-1128, Sep. 1994.
    [20]
    {20} A. J. Martin, A. Lines, R. Manohar, M. Nystroem, P. Penzes, R. Southworth, and U. Cummings, "The design of an asynchronous MIPS R3000 microprocessor," in Proc. ARVLSI, 1997, pp. 164-181.
    [21]
    {21} C. Molnar, I. Jones, W. Coates, J. Lexau, S. Fairbanks, and I. Sutherland, "Two FIFO ring performance experiments," Proc. IEEE, vol. 87, no. 2, pp. 297-307, Feb. 1999.
    [22]
    {22} C. E. Molnar and I. W. Jones, "Simple circuits that work for complicated reasons," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits Syst. (ASYNC), 2000, pp. 138-149.
    [23]
    {23} V. Narayanan, B. Chappell, and B. Fleischer, "Static timing analysis for self resetting circuits," in Proc. ICCAD, 1996, pp. 119-126.
    [24]
    {24} R. O. Ozdag and P. A. Beerel, "High-speed QDI asynchronous pipelines," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits Syst., 2002, pp. 13-22.
    [25]
    {25} S. Schuster, W. Reohr, P. Cook, D. Heidel, M. Immediato, and K. Jenkins, "Asynchronous interlocked pipelined CMOS circuits operating at 3.3-4.5 GHz," in Proc. ISSCC, 2000, pp. 292-293.
    [26]
    {26} C. L. Seitz, "System Timing," in Introduction to VLSI Systems . Reading, MA: Addison-Wesley, 1980, ch. 7.
    [27]
    {27} M. Singh, "The design of high-throughput asynchronous pipelines," Ph.D. dissertation, Dept. Comput. Sci., Columbia Univ., New York, NY, 2001.
    [28]
    {28} M. Singh and S. M. Nowick, "Fine-grain pipelined asynchronous adders for high-speed DSP applications," in Proc. IEEE Comput. Soc. Annu. Workshop VLSI, 2000, pp. 111-118.
    [29]
    {29} M. Singh and S. M. Nowick, "High-throughput asynchronous pipelines for fine-grain dynamic datapaths," in Proc. Intl. Symp. Adv. Res. Asynchronous Circuits Syst. (ASYNC), 2000, pp. 198-209.
    [30]
    {30} M. Singh and S. M. Nowick, "MOUSETRAP: Ultra-high-speed transition-signaling asynchronous pipelines," in Proc. Int. Conf. Comput. Design (ICCD), 2001, pp. 9-17.
    [31]
    {31} M. Singh and M. Theobald, "Generalized latency-insensitive systems for single-clock and multi-clock architectures," in Proc. Design, Autom. Test Eur. (DATE), 2004, pp. 1008-1013.
    [32]
    {32} M. Singh, J. A. Tierno, A. Rylyakov, S. Rylov, and S. M. Nowick, "An adaptively-pipelined mixed synchronous-asynchronous digital FIR filter chip operating at 1.3 gigahertz," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits Syst., 2002, pp. 84-95.
    [33]
    {33} I. Sutherland and S. Fairbanks, "GasP: A minimal FIFO control," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits Syst. (ASYNC), 2001, pp. 46-53.
    [34]
    {34} I. Sutherland, B. Sproull, and D. Harris, Logical Effort: Designing Fast CMOS Circuits. San Mateo, CA: Morgan Kaufmann, 1999.
    [35]
    {35} I. E. Sutherland, "Micropipelines," Commun. ACM, vol. 32, no. 6, pp. 720-738, Jun. 1989.
    [36]
    {36} J. Tierno, A. Rylyakov, S. Rylov, M. Singh, P. Ampadu, S. Nowick, M. Immediato, and S. Gowda, "A 1.3 GSample/s 10-tap full-rate variable-latency self-timed FIR filter with clocked interfaces," in Proc. Int. Solid State Circuits Conf., 2002, pp. 60-444.
    [37]
    {37} V. Tiwari, D. Singh, S. Rajgopal, G. Mehta, R. Patel, and F. Baez, "Reducing power in high-performance microprocessors," in Proc. ACM/ IEEE Design Autom. Conf., 1998, pp. 732-737.
    [38]
    {38} C. van Berkel, M. Josephs, and S. Nowick, "Scanning the technology: Applications of asynchronous circuits," Proc. IEEE, vol. 87, no. 2, pp. 223-233, Feb. 1999.
    [39]
    {39} T. Williams, "Self-timed rings and their application to division," Ph.D. dissertation, Dept. Electr. Eng. Comput. Sci, Stanford Univ. Stanford, CA, 1991.
    [40]
    {40} D. Wong, G. De Micheli, and M. Flynn, "Designing high-performance digital circuits using wave-pipelining," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 12, no. 1, pp. 24-46, Jan. 1993.
    [41]
    {41} G. Yee and C. Sechen, "Clock-delayed domino for adder and combinational logic design," in Proc. ICCD, 1996, pp. 332-337.
    [42]
    {42} K. Yun, P. Beerel, and J. Arceo, "High-performance asynchronous pipeline circuits," in Proc. Int. Symp. Adv. Res. Asynchronous Circuits Syst. (ASYNC), 1996, pp. 17-28.

    Cited By

    View all
    • (2023)ShakeFlow: Functional Hardware Description with Latency-Insensitive Interface CombinatorsProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 210.1145/3575693.3575701(702-717)Online publication date: 27-Jan-2023
    • (2023)Linear Clock Tree Topology for Dynamic Source Synchronous and Fully Synchronous 3-D InterfacesIntegration, the VLSI Journal10.1016/j.vlsi.2023.10206693:COnline publication date: 1-Nov-2023
    • (2022)General Approach to Asynchronous Circuits Simulation Using Synchronous FPGAsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.313154641:10(3452-3465)Online publication date: 1-Oct-2022
    • Show More Cited By

    Index Terms

    1. MOUSETRAP: high-speed transition-signaling asynchronous pipelines

            Recommendations

            Comments

            Information & Contributors

            Information

            Published In

            cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
            IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 15, Issue 6
            June 2007
            117 pages

            Publisher

            IEEE Educational Activities Department

            United States

            Publication History

            Published: 01 June 2007

            Author Tags

            1. Asynchronous
            2. asynchronous
            3. clocked CMOS
            4. gate-level pipelines
            5. latch controllers
            6. micropipelines
            7. pipeline processing
            8. transition signaling
            9. wave pipelining

            Qualifiers

            • Research-article

            Contributors

            Other Metrics

            Bibliometrics & Citations

            Bibliometrics

            Article Metrics

            • Downloads (Last 12 months)0
            • Downloads (Last 6 weeks)0
            Reflects downloads up to 27 Jul 2024

            Other Metrics

            Citations

            Cited By

            View all
            • (2023)ShakeFlow: Functional Hardware Description with Latency-Insensitive Interface CombinatorsProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 210.1145/3575693.3575701(702-717)Online publication date: 27-Jan-2023
            • (2023)Linear Clock Tree Topology for Dynamic Source Synchronous and Fully Synchronous 3-D InterfacesIntegration, the VLSI Journal10.1016/j.vlsi.2023.10206693:COnline publication date: 1-Nov-2023
            • (2022)General Approach to Asynchronous Circuits Simulation Using Synchronous FPGAsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.313154641:10(3452-3465)Online publication date: 1-Oct-2022
            • (2021)Reusable Delay Path Synthesis for Lightening Asynchronous Pipeline ControllerIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2021.307338329:7(1437-1450)Online publication date: 1-Jul-2021
            • (2020)Lightening Asynchronous Pipeline Controller Through Resynthesis and OptimizationProceedings of the 25th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC47756.2020.9045358(587-592)Online publication date: 17-Jan-2020
            • (2020)High-performance asynchronous pipeline using embedded delay elementMicroprocessors & Microsystems10.1016/j.micpro.2019.10295573:COnline publication date: 1-Mar-2020
            • (2017)Optimized Design of an LSSD Scan CellIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.258954825:2(765-768)Online publication date: 1-Feb-2017
            • (2016)Evolutionary vs. Revolutionary Interconnect Technologies for Future Low-Power Multi-Core SystemsProceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems10.1145/2857058.2857063(1-6)Online publication date: 18-Jan-2016
            • (2016)Argo: A Real-Time Network-on-Chip Architecture With an Efficient GALS ImplementationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.240561424:2(479-492)Online publication date: 19-Jan-2016
            • (2015)A New Approach for Gate-Level Delay-Insensitive Asynchronous LogicCircuits, Systems, and Signal Processing10.5555/2765121.276513734:5(1431-1459)Online publication date: 1-May-2015
            • Show More Cited By

            View Options

            View options

            Get Access

            Login options

            Media

            Figures

            Other

            Tables

            Share

            Share

            Share this Publication link

            Share on social media