Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Computation and communication refinement for multiprocessor SoC design: A system-level perspective

Published: 07 June 2004 Publication History

Abstract

Continuous advancements in semiconductor technology enable the design of complex systems-on-chips (SoCs) composed of tens or hundreds of IP cores. At the same time, the applications that need to run on such platforms have become increasingly complex and have tight power and performance requirements. Achieving a satisfactory design quality under these circumstances is only possible when both computation and communication refinement are performed efficiently, in an automated and synergistic manner. Consequently, formal and disciplined system-level design methodologies are in great demand for future multiprocessor design. This article provides a broad overview of some fundamental research issues and state-of-the-art solutions concerning both computation and communication aspects of system-level design. The methodology we advocate consists of developing abstract application and platform models, followed by application mapping onto the target platform, and then optimizing the overall system via performance analysis. In addition, a communication refinement step is critical for optimizing the communication infrastructure in this multiprocessor setup. Finally, simulation and prototyping can be used for accurate performance evaluation purposes.

References

[1]
Adriahantenaina, A. and Greiner, A. 2003. Micro-network for SoC: Implementation of a 32-Port SPIN network. In Proceedings of the Design Automation and Test in Europe Conference.
[2]
Ascia, G., Catania, V., and Palesi, M. 2004. Multi-objective mapping for mesh-based NoC architectures. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis.
[3]
Bailey, N. 1975. The Mathematical Theory of Infectious Diseases, 2nd ed. Charles Griffin, London.
[4]
Balarin, F., Watanabe, Y., Hsieh, H., Lavagno, L., Passerone, C., and Sangiovanni-Vincentelli, A. 2003. Metropolis: An integrated electronic system design environment. IEEE Computer 36, 4, 45--52.
[5]
Bartic, T. A., Mignolet, J.-Y., Nollet, V., Marescaux, T., Verkest, D., Vernalde, S., and Lauwereins, R. 2003. Highly scalable network on chip for reconfigurable systems. In Proceedings of the International Symposium on System-on-Chip.
[6]
Benini, L. and De Micheli, G. 2002. Networks on chips: A new SoC paradigm. IEEE Comput. 35, 1.
[7]
Beran, J. 1994. Statistics for Long-Memory Processes. Chapman & Hall, London.
[8]
Bergstra, J. A. and Klop, J. W. 1985. Algebra of communicating processes with abstraction. Theoretical Comput. Sci. 37, 1.
[9]
Bertozzi, D., Benini, L., and De Micheli, G. 2002. Low power error resilient encoding for on-chip data buses. In Proceedings of the Design Automation and Test in Europe Conference.
[10]
Bertsekas, D. and Gallager, R. 1987. Data Networks. Prentice-Hall, Upper Saddle River, N.J.
[11]
Carloni, L. P. and Sangiovanni-Vincentelli, A. L. 2002. Coping with latency in SoC design. IEEE Micro (Special Issue on System on Chip) 22, 5.
[12]
Chandra, V., Xu, A., Schmit, H., and Pileggi, L. 2004. An interconnect channel design for high performance integrated circuits. In Proceedings of the Design Automation and Test in Europe Conference.
[13]
Chiu, G.-M. 2000. The odd-even turn model for adaptive routing. IEEE Trans. Parallel Distributed Syst. 11, 7, 729--738.
[14]
Constantinescu, C. 2001. Dependability analysis of a fault-tolerant processor. In Proceedings of the Pacific Rim International Symposium on Dependable Computing.
[15]
Constantinescu, C. 2002. Impact of deep submicron technology on dependability of VLSI circuits. In Proceedings of the International Conference on Dependable Systems and Networks.
[16]
Dally, W. and Towles, B. 2001. Route packets, not wires: On-Chip interconnection networks. In Proceedings of the 38th ACM IEEE Design Automation Canference.
[17]
Duato, J., Yalamanchili, S., and Ni, L. M. 2002. Interconnection Networks: An Engineering Approach. Morgan Kaufmann, San Fransisco, Calif.
[18]
Dumitras, T. and Marculescu, R. 2003. On-Chip stochastic communication. In Proceedings of the Design Automation and Test in Europe Conference.
[19]
Ferrari, A. and Sangiovanni-Vincentelli, A. 1999. System design: Traditional concepts and new paradigms. In Proceedings of the International Conference on Computer Design.
[20]
Flynn, D. 1997. AMBA: Enabling reusable on-chip designs. IEEE Micro 17, 4, 20--27.
[21]
Geilen, M. C. W., Basten, T., and Stuijk, S. 2005. Minimising buffer requirements of synchronous dataflow graphs with model checking. In Proceedings of the ACM IEEE Design Automation Conference.
[22]
Glass, C. J. and Ni, L. M. 1992. The turn model for adaptive routing. J. ACM 41, 5, 874--902.
[23]
Gotz, N., Herzog, U., and Rettelbach, M. 1993. Multiprocessor and distributed system design: The integration of functional specification and performance analysis using stochastic process algebras. In Tutorial Proceedings of the 16th International Symposium on Computer Performance Modelling, Measurement and Evaluation, vol. 729. Lecture Notes in Computer Science, Springer Verlag, New York.
[24]
Hedetniemi, S. M., Hedetniemi, S. T., and Liestman, A. L. 1988. A survey of gossiping and broadcasting in communication networks. Networks 18, 4, 319--359.
[25]
Hemani, A., Jantsch, A., Kumar, S., Postula, A., Oberg, J., Millberg, M., and Lindqvist, D. 2000. Network on a chip: An architecture for billion transistor era. In Proceedings of the IEEE NorChip Conference.
[26]
Hillstone, J. 1996. A Compositional Approach to Performance Modelling. Cambridge University Press, Cambridge Mass.
[27]
Horst, R., Jewett, D., and Lenowski, D. 1993. The risk of data corruption in microprocessor based systems. In Proceedings of the 23rd International Symposium on Fault-Tolerant Computing.
[28]
Hu, J. and Marculescu, R. 2004. DyAD-Smart routing for networks-on-chip. In Proceedings of the Design Automation Conference.
[29]
Hu, J. and Marculescu, R. 2004a. Application-specific buffer space allocation for Networks-on-Chip router design. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. San Jose, CA.
[30]
Hu, J. and Marculescu, R. 2005a. Communication and task scheduling of application-specific Networks-on-chip. IEE Proceedings Comput. Digital Techniques. 152, 5, 643--651.
[31]
Hu, J. and Marculescu, R. 2005b. Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. Comput. Aided Des. Integrated Circuits Syst. 24, 4.
[32]
Hu, J., Ogras, U. Y., and Marculescu, R. System-level buffer allocation for application-specific Networks-on-Chip router design. IEEE Trans Comput. Aided Des. Integrated Circuits Syst. To appear.
[33]
Hung, W., Addo-Quaye, C., Theocharides, T., Xie, Y., Vijakrishnan, N., and Irwin, M. J. 2004. Thermal-aware IP virtualization and placement for networks-on-chip architecture. In Proceedings of the IEEE Conference on Computer Design.
[34]
IBM CoreConnect. 2006. http://www.chips.ibm.com/products/powerpc/cores.
[35]
Jalabert, A., Murali, S., Benini, L., and De Micheli, G. 2004. × pipesCompiler: A tool for instantiating application specific networks on chip. In Proceedings of the Design Automation and Test in Europe Conference.
[36]
Jantsch, A. and Tenhunen, H. (Eds.). 2003. Networks-on-Chip. Kluwer, Hingham, Mass.
[37]
Kahn, G.1974. The semantics of a simple language for parallel programming. In Information Processing, J. L. Rosenfeld, ed. Stockholm, Sweden.
[38]
Kim, J. and Shin, K. G. 1996. Execution time analysis of communicating tasks in distributed systems. IEEE Trans. Comput. 45, 5, 572--579.
[39]
Lee, E. and Messerschmitt, D. 1987. Synchronous dataflow. In Proc. IEEE 75, 9, 1235--1245.
[40]
Lee, E. and Parks, T. M. 1995. Dataflow process networks. Proc. IEEE 83, 5.
[41]
Lee, H. G., Ogras, U. Y., Marculescu, R., and Chang, N. 2006. Design space exploration and prototyping for on-chip multimedia applications. In Proceedings of the Design Automation Conference.
[42]
Lieverse, P., Wolf, P., Vissers, K., and Deprettere, E. 2001. A methodology for architecture exploration of heterogeneous signal processing systems. J. VLSI Signal Processing Syst. Signal, Image Video Technol. 29, 3.
[43]
Lin, T. and Pileggi, L. T. 2002. Throughput-Driven IC communication fabric synthesis. In Proceedings of the International Conference on Computer-Aided Design.
[44]
Maly, W. 2001. IC design in high-cost nanometer technologies era. In Proceedings of the 38th Conference on Design Automation.
[45]
Manolache, S., Eles, P., and Peng, Z. 2005. Fault and Energy aware communication mapping with quaranteed latency for applications implemented on NoC. In Proceedings of the Design Automation Conference.
[46]
Marculescu, R., Nandi, A., Lavagno, L., and Sangiovanni-Vincentelli, A. 2001. System-Level power/performance analysis of portable multimedia systems communicating over wireless channels. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design.
[47]
Maxiaguine, A., Kuenzli, S., Chakraborty S., and Thiele, L. 2004. Rate analysis for streaming applications with on-chip buffer constraints. In Proceedings of the Asia and South Pacific Design Automation Conference.
[48]
Milner, R. 1989. Communication and Concurrency. Prentice-Hall, Englewood Cliffs, N.J.
[49]
Mishra, P. Shrivastava, A., and Dutt, N. Architecture description language (ADL-) Driven software toolkit generation for architectural exploration of programmable SOCs. ACM Trans. Design Autom. Elect. Syst. 11, 3, 626--658.
[50]
Morgenstein, A. 2004. Comparative analysis of serial vs. parallel links in networks on chip. In Proceedings of the International Symposium on Systems on Chip.
[51]
Murali, S. and De Micheli, G. 2004a. Bandwidth-Constrained mapping of cores onto NoC architectures. In Proceedings of the Design Automation and Test in Europe Conference.
[52]
Murali, S. and De Micheli, G. 2004b. SUNMAP: A tool for automatic topology selection and generation for NoCs. In Proceedings of the Design Automation Conference.
[53]
Nandi, A. and Marculescu, R. 2001. System-Level power/performance analysis for embedded systems design. In Proceedings of the 38th ACM/IEEE Design Automation Conference.
[54]
Ni, L. M. and McKinley, P. K. 1993. A survey of wormhole routing techniques in direct networks. IEEE Trans. Comput. 26.
[55]
Norros, I. 1994. A storage model with self-similar input. Queueing Syst. 16, 3--4, 387--396.
[56]
Ogras, U. Y., Hu, J., and Marculescu, R. 2005. Key research problems in NoC design: A holistic perspective. In Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthses.
[57]
Ogras, U. Y. and Marculescu, R. 2005a. Application-Specific network-on-chip architecture customization via long-range link insertion. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design.
[58]
Ogras, U. Y. and Marculescu, R. 2005b. Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach. In Proceedings of the Design Automation and Test in Europe Conference.
[59]
Ogras, U. Y., Marculescu, R., Lee, H. G., and Chang, N. 2006. Communication architecture optimization: Making the shortest path shorter in regular networks-on-chip. In Proceedings of the Design Automation and Test in Europe Conference.
[60]
Ogras, U. Y. and Marculescu, R. 2006a. It's a small world after all; NoC performance optimization via long link insertion. IEEE Trans. Very Large Scale Integrat. Syst. (Special Section Hardware/ Software Codesign and System Synthesis.)
[61]
Open Core Protocol International Partnership (OCP-IP).2006. OCP datasheet. http://www. ocpip.org.
[62]
Peterson, J. L. 1981. Petri Net Theory and the Modeling of Systems. Prentice-Hall, Englewood Cliffs, N.J.
[63]
Pinto, A. and Sangiovanni-Vincentelli, A. 2003. Efficient synthesis of networks on chip. In Proceedings of the 21st International Conference on Computer Designe.
[64]
Pinto, A., Bonivento, A., Sangiovanni-Vincentelli, A. L., Passerone, R., and Sgroi, M. 2006. System level design paradigms: Platform-based design and communication synthesis. ACM Trans. Design Autom. Elect. Syst., 11, 3, 537--563.
[65]
Pirretti, M. 2004. Fault tolerant algorithms for network-on-chip interconnect. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI.
[66]
Plateau, B. and Atif, K. 1991. Stochastic automata network for modeling parallel systems. IEEE Trans. Softw. Eng. 17, (Oct.).
[67]
Plateau, B. and Fourneau, J. M. 1991. A methodology for solving Markov models of parallel systems. J. Parallel Distrib. Comput. 12, 4, 370--387.
[68]
Pop, P. and Eles, P. 2006. Analysis and optimization of communication-dominated real-time embedded systems. ACM Trans. Design Autom. Elect. Syst. 11, 3, 593--625.
[69]
Saastamoinen, I., Alho, M., and Nurmi, J. 2003. Buffer implementation for proteo network-on-chip. In Proceedings of the International Symposium on Circuits and Systems.
[70]
Semiconductor Association. 2003. The International Technology Roadmap for Semiconductors (ITRS).
[71]
Shang, L., Peh, L. S., and Jha, N. K. 2006. POWERHERD: A distributed scheme for dynamic satisfying peak power constraints in interconnection networks. IEEE Trans. Comput.-Aided Des. Integrated Circuits Syst. 25, 1, 92--110.
[72]
Srinivasan, K., Chatha, K. S., and Konjevod, G. 2004. Linear programming based techniques for synthesis of network-on-chip architectures. In Proceedings of the IEEE International Conference on Computer Design.
[73]
Sriram, S. and Bhattacharyya, S. S. 2001. Embedded Multiprocessors Scheduling and Synchronization. Marcel Dekker, New York.
[74]
Stewart, W. 1994. An Introduction to the Numerical Solution of Markov Chains. Princeton University Press, N.J.
[75]
Stewart, W., Atif, K., and Plateau, B. 1995. The numerical solution of stochastic automata networks. In European J. Operational Research 86, 503--525.
[76]
Trivedi, K. S. 1982.Probability and Statistics with Reliability, Queueing, and Computer Science Applications. Prentice-Hall., Englewood Cliffs, N.J.
[77]
Varatkar, G. and Marculescu, R. 2004. On-Chip traffic modeling and synthesis for MPEG-2 video applications. IEEE Trans. VLSI 12, 1, 108--119.
[78]
Yang, G., Sangiovanni-Vincentelli, A., Watanabe, Y., and Balarin, F. 2004. Separation of concerns: Overhead in modeling and efficient simulation techniques. In Proceedings of the ACM 4th International Conference on Embedded Software.
[79]
Ye, T. T., Benini, L., and De Micheli, G. 2002. Analysis of power consumption on switch fabrics in network routers. In Proceedings of the Design Automation Conference.
[80]
Ye, T. T. and De Micheli, G. 2003. Physical planning for multiprocessor networks and switch fabrics. In Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures, and Processors.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 11, Issue 3
July 2006
262 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/1142980
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 07 June 2004
Published in TODAES Volume 11, Issue 3

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Embedded systems
  2. Markov chains
  3. communication
  4. energy optimization
  5. networks-on-chip
  6. performance analysis
  7. prototype
  8. systems-on-chip
  9. traffic

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)0
Reflects downloads up to 15 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2017)ReferencesThree-Dimensional Integrated Circuit Design10.1016/B978-0-12-410501-0.00033-2(669-707)Online publication date: 2017
  • (2014)Assisting Refinement in System-on-Chip DesignLanguages, Design Methods, and Tools for Electronic System Design10.1007/978-3-319-06317-1_2(21-42)Online publication date: 22-Aug-2014
  • (2013)A multi-application mapping case study for NoC-based MPSoCs2013 IEEE International Conference on Signal Processing, Communication and Computing (ICSPCC 2013)10.1109/ICSPCC.2013.6664092(1-6)Online publication date: Aug-2013
  • (2013)System-level optimization of on-chip communication using express links for throughput constrained MPSoCsThe 11th IEEE Symposium on Embedded Systems for Real-time Multimedia10.1109/ESTIMedia.2013.6704505(68-77)Online publication date: Oct-2013
  • (2013)Formal system-level design space explorationConcurrency and Computation: Practice & Experience10.1002/cpe.280225:2(250-264)Online publication date: 1-Feb-2013
  • (2011)Abstraction-based performance verification of NoCsProceedings of the 48th Design Automation Conference10.1145/2024724.2024840(492-497)Online publication date: 5-Jun-2011
  • (2011)A case for heterogeneous on-chip interconnects for CMPsACM SIGARCH Computer Architecture News10.1145/2024723.200011139:3(389-400)Online publication date: 4-Jun-2011
  • (2011)A case for heterogeneous on-chip interconnects for CMPsProceedings of the 38th annual international symposium on Computer architecture10.1145/2000064.2000111(389-400)Online publication date: 4-Jun-2011
  • (2011)An analytical model for Network-on-Chip with finite input bufferFrontiers of Computer Science in China10.1007/s11704-010-0117-05:1(126-134)Online publication date: 1-Mar-2011
  • (2010)Concept-based partitioning for large multidomain multifunctional embedded systemsACM Transactions on Design Automation of Electronic Systems10.1145/1754405.175440715:3(1-41)Online publication date: 10-Jun-2010
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media