Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
  • Semião J, Cabral R, Santos M, Teixeira I and Teixeira J. Performance Sensor for Reliable Operation. Universal Access in Human-Computer Interaction. Virtual, Augmented, and Intelligent Environments . (347-365).

    https://doi.org/10.1007/978-3-319-92052-8_28

  • Kondguli S and Huang M. (2018). A Case for a More Effective, Power-Efficient Turbo Boosting. ACM Transactions on Architecture and Code Optimization. 15:1. (1-22). Online publication date: 2-Apr-2018.

    https://doi.org/10.1145/3170433

  • Giesen H, Gojman B, Rubin R, Kim J and Dehon A. (2018). Continuous Online Self-Monitoring Introspection Circuitry for Timing Repair by Incremental Partial-Reconfiguration (COSMIC TRIP). ACM Transactions on Reconfigurable Technology and Systems. 11:1. (1-23). Online publication date: 31-Mar-2018.

    https://doi.org/10.1145/3158229

  • Stanley-Marbell P and Rinard M. (2017). Error-Efficient Computing Systems. Foundations and Trends in Electronic Design Automation. 11:4. (362-461). Online publication date: 18-Dec-2017.

    https://doi.org/10.1561/1000000049

  • Valadimas S, Tsiatouhas Y and Arapoyanni A. (2016). Timing Error Tolerance in Small Core Designs for SoC Applications. IEEE Transactions on Computers. 65:2. (654-663). Online publication date: 1-Feb-2016.

    https://doi.org/10.1109/TC.2015.2420562

  • De V, Kahng A, Karnik T, Liu B, Maleki M and Wang L. (2015). Application-Specific Cross-Layer Optimization Based on Predictive Variable-Latency VLSI Design. ACM Journal on Emerging Technologies in Computing Systems. 12:3. (1-19). Online publication date: 21-Sep-2015.

    https://doi.org/10.1145/2746341

  • Shi K, Boland D and Constantinides G. (2015). Imprecise Datapath Design. ACM Transactions on Reconfigurable Technology and Systems. 8:2. (1-23). Online publication date: 17-Apr-2015.

    https://doi.org/10.1145/2629527

  • Valadimas S and Arapoyanni A. Timing Error Tolerance in Pipeline Based Core Designs. Proceedings of the 18th Panhellenic Conference on Informatics. (1-6).

    https://doi.org/10.1145/2645791.2645797

  • Shi K, Boland D, Stott E, Bayliss S and Constantinides G. Datapath Synthesis for Overclocking. Proceedings of the 51st Annual Design Automation Conference. (1-6).

    https://doi.org/10.1145/2593069.2593118

  • Valadimas S, Tsiatouhas Y, Arapoyanni A and Xarchakos P. (2013). Effective Timing Error Tolerance in Flip-Flop Based Core Designs. Journal of Electronic Testing: Theory and Applications. 29:6. (795-804). Online publication date: 1-Dec-2013.

    https://doi.org/10.1007/s10836-013-5419-3

  • Sridharan A, Sechen C and Jafari R. Low-voltage low-overhead asynchronous logic. Proceedings of the 2013 International Symposium on Low Power Electronics and Design. (261-266).

    /doi/10.5555/2648668.2648734

  • Henkel J, Bauer L, Dutt N, Gupta P, Nassif S, Shafique M, Tahoori M and Wehn N. Reliable on-chip systems in the nano-era. Proceedings of the 50th Annual Design Automation Conference. (1-10).

    https://doi.org/10.1145/2463209.2488857

  • Axer P, Sebastian M and Ernst R. Reliability analysis for MPSoCs with mixed-critical, hard real-time constraints. Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. (149-158).

    https://doi.org/10.1145/2039370.2039396

  • Sanz Pineda C, Prieto M, Gómez J, Tenllado C and Catthoor F. Statistical approach in a system level methodology to deal with process variation. Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. (115-124).

    https://doi.org/10.1145/1878961.1878983

  • Carter N, Naeimi H and Gardner D. Design techniques for cross-layer resilience. Proceedings of the Conference on Design, Automation and Test in Europe. (1023-1028).

    /doi/10.5555/1870926.1871178

  • DeHon A, Quinn H and Carter N. Vision for cross-layer optimization to address the dual challenges of energy and reliability. Proceedings of the Conference on Design, Automation and Test in Europe. (1017-1022).

    /doi/10.5555/1870926.1871177

  • Fossum T. Multi core design for chip level multiprocessing. Advanced Lectures on Software Engineering. (162-187).

    /doi/10.5555/2167938.2167944

  • Rubin R and DeHon A. Choose-your-own-adventure routing. Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays. (23-32).

    https://doi.org/10.1145/1508128.1508133

  • Sanz C, Prieto M, Gómez J, Papanikolaou A and Catthoor F. System-level process variability compensation on memory organizations. Proceedings of the 2009 Asia and South Pacific Design Automation Conference. (254-259).

    /doi/10.5555/1509633.1509703

  • Kodi A, Sarathy A, Louri A and Wang J. Adaptive inter-router links for low-power, area-efficient and reliable Network-on-Chip (NoC) architectures. Proceedings of the 2009 Asia and South Pacific Design Automation Conference. (1-6).

    /doi/10.5555/1509633.1509638

  • Garg A and Huang M. A performance-correctness explicitly-decoupled architecture. Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture. (306-317).

    https://doi.org/10.1109/MICRO.2008.4771800

  • Lisboa C, Kastensmidt F and Carro L. Analyzing the effects of the granularity of recomputation based techniques to cope with radiation induced soft errors. Proceedings of the 2008 workshop on Radiation effects and fault tolerance in nanometer technologies. (329-338).

    https://doi.org/10.1145/1366224.1366226

  • Irie H, Sugimoto K, Goshima M and Sakai S. (2007). Preventing timing errors on register writes. ACM SIGARCH Computer Architecture News. 35:5. (25-31). Online publication date: 1-Dec-2007.

    https://doi.org/10.1145/1360464.1360473

  • Blome J, Feng S, Gupta S and Mahlke S. Self-calibrating Online Wearout Detection. Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. (109-122).

    https://doi.org/10.1109/MICRO.2007.37

  • Pan Z and Breuer M. (2007). Estimating Error Rate in Defective Logic Using Signature Analysis. IEEE Transactions on Computers. 56:5. (650-661). Online publication date: 1-May-2007.

    https://doi.org/10.1109/TC.2007.1017

  • Mehrara M, Attariyan M, Shyam S, Constantinides K, Bertacco V and Austin T. Low-cost protection for SER upsets and silicon defects. Proceedings of the conference on Design, automation and test in Europe. (1146-1151).

    /doi/10.5555/1266366.1266614

  • Shyam S, Constantinides K, Phadke S, Bertacco V and Austin T. (2006). Ultra low-cost defect protection for microprocessor pipelines. ACM SIGPLAN Notices. 41:11. (73-82). Online publication date: 1-Nov-2006.

    https://doi.org/10.1145/1168918.1168868

  • Shyam S, Constantinides K, Phadke S, Bertacco V and Austin T. Ultra low-cost defect protection for microprocessor pipelines. Proceedings of the 12th international conference on Architectural support for programming languages and operating systems. (73-82).

    https://doi.org/10.1145/1168857.1168868

  • Blome J, Gupta S, Feng S and Mahlke S. Cost-efficient soft error protection for embedded microprocessors. Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems. (421-431).

    https://doi.org/10.1145/1176760.1176811

  • Papanikolaou A, Grabner T, Miranda M, Roussel P and Catthoor F. Yield prediction for architecture exploration in nanometer technology nodes:. Proceedings of the 4th international conference on Hardware/software codesign and system synthesis. (253-258).

    https://doi.org/10.1145/1176254.1176315

  • Shyam S, Constantinides K, Phadke S, Bertacco V and Austin T. (2006). Ultra low-cost defect protection for microprocessor pipelines. ACM SIGARCH Computer Architecture News. 34:5. (73-82). Online publication date: 20-Oct-2006.

    https://doi.org/10.1145/1168919.1168868

  • Shyam S, Constantinides K, Phadke S, Bertacco V and Austin T. (2006). Ultra low-cost defect protection for microprocessor pipelines. ACM SIGOPS Operating Systems Review. 40:5. (73-82). Online publication date: 20-Oct-2006.

    https://doi.org/10.1145/1168917.1168868

  • Sanz C, Prieto M, Papanikolaou A, Miranda M and Catthoor F. System-level process variability compensation on memory organizations of dynamic applications. Proceedings of the 7th International Symposium on Quality Electronic Design. (376-382).

    https://doi.org/10.1109/ISQED.2006.129

  • Austin T and Bertacco V. Deployment of Better Than Worst-Case Design. Proceedings of the 2005 International Conference on Computer Design. (550-558).

    https://doi.org/10.1109/ICCD.2005.43

  • Wang H, Miranda M, Papanikolaou A, Catthoor F and Dehaene W. (2005). Variable tapered pareto buffer design and implementation allowing run-time configuration for low-power embedded SRAMs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 13:10. (1127-1135). Online publication date: 1-Oct-2005.

    https://doi.org/10.1109/TVLSI.2005.859480

  • Papanikolaou A, Lobmaier F, Wang H, Miranda M and Catthoor F. A system-level methodology for fully compensating process variability impact of memory organizations in periodic applications. Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. (117-122).

    https://doi.org/10.1145/1084834.1084866

  • DeHon A and Likharev K. Hybrid CMOS/nanoelectronic digital circuits. Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design. (375-382).

    /doi/10.5555/1129601.1129656

  • Austin T, Bertacco V, Blaauw D and Mudge T. Opportunities and challenges for better than worst-case design. Proceedings of the 2005 Asia and South Pacific Design Automation Conference. (2-7).

    https://doi.org/10.1145/1120725.1120878

  • Worm F, Ienne P, Thiran P and De Micheli G. (2005). A robust self-calibrating transmission scheme for on-chip networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 13:1. (126-139). Online publication date: 1-Jan-2005.

    https://doi.org/10.1109/TVLSI.2004.834241

  • Worm F, Ienne P and Thiran P. Soft self-synchronising codes for self-calibrating communication. Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design. (440-447).

    https://doi.org/10.1109/ICCAD.2004.1382617

  • Austin T. Designing robust microarchitectures. Proceedings of the 41st annual Design Automation Conference. (78-78).

    https://doi.org/10.1145/996566.996591